A Self-Aligned Epitaxial Regrowth Process for Sub-100-nm III-V FETs A. D. Carter, G. J. Burek, M. A. Wistey*, B. J. Thibeault, A. Baraskar, U. Singisetti,

Slides:



Advertisements
Similar presentations
MICROWAVE FET Microwave FET : operates in the microwave frequencies
Advertisements

6.1 Transistor Operation 6.2 The Junction FET
IEEE Device Research Conference, June , Notre Dame
Treinamento: Testes Paramétricos em Semicondutores Setembro 2012
Metal Oxide Semiconductor Field Effect Transistors
Derek Wright Monday, March 7th, 2005
Improved Migration-Enhanced Epitaxy for Self-Aligned InGaAs Devices Electronic Materials Conference (EMC), Mark A. Wistey University of California,
Spring 2007EE130 Lecture 41, Slide 1 Lecture #41 QUIZ #6 (Friday, May 4) Material of HW#12 & HW#13 (Lectures 33 through 38) –MOS non-idealities, V T adjustment;
High performance 110 nm InGaAs/InP DHBTs in dry-etched in-situ refractory emitter contact technology Vibhor Jain, Evan Lobisser, Ashish Baraskar, Brian.
2007 DRC Ultra Low Resistance Ohmic Contacts to InGaAs/InP Uttam Singisetti*, A.M. Crook, E. Lind, J.D. Zimmerman, M. A. Wistey, M.J.W. Rodwell, and A.C.
1 InGaAs/InP DHBTs demonstrating simultaneous f t / f max ~ 460/850 GHz in a refractory emitter process Vibhor Jain, Evan Lobisser, Ashish Baraskar, Brian.
Metal Semiconductor Field Effect Transistors
Device Research Conference 2011
In-situ and Ex-situ Ohmic Contacts To Heavily Doped p-InGaAs TLM Fabrication by photolithography and liftoff Ir dry etched in SF 6 /Ar with Ni as etch.
1 Uttam Singisetti*, Man Hoi Wong, Jim Speck, and Umesh Mishra ECE and Materials Departments University of California, Santa Barbara, CA 2011 Device Research.
1 Scalable E-mode N-polar GaN MISFET devices and process with self-aligned source/drain regrowth Uttam Singisetti*, Man Hoi Wong, Sansaptak Dasgupta, Nidhi,
NAMBE 2010 Ashish Baraskar, UCSB 1 In-situ Iridium Refractory Ohmic Contacts to p-InGaAs Ashish Baraskar, Vibhor Jain, Evan Lobisser, Brian Thibeault,
DRC mS/  m In 0.53 Ga 0.47 As MOSFET with 5 nm channel and self-aligned epitaxial raised source/drain Uttam Singisetti*, Mark A. Wistey, Greg.
IPRM 2010 Ashish Baraskar 1 High Doping Effects on in-situ Ohmic Contacts to n-InAs Ashish Baraskar, Vibhor Jain, Uttam Singisetti, Brian Thibeault, Arthur.
Ashish Baraskar 1, Mark A. Wistey 3, Evan Lobisser 1, Vibhor Jain 1, Uttam Singisetti 1, Greg Burek 1, Yong Ju Lee 4, Brian Thibeault 1, Arthur Gossard.
2010 Electronic Materials Conference Ashish Baraskar June 23-25, 2010 – Notre Dame, IN 1 In-situ Ohmic Contacts to p-InGaAs Ashish Baraskar, Vibhor Jain,
Week 8b OUTLINE Using pn-diodes to isolate transistors in an IC
1 InGaAs/InP DHBTs in a planarized, etch-back technology for base contacts Vibhor Jain, Evan Lobisser, Ashish Baraskar, Brian J Thibeault, Mark Rodwell.
1 Interface roughness scattering in ultra-thin GaN channels in N-polar enhancement-mode GaN MISFETs Uttam Singisetti*, Man Hoi Wong, Jim Speck, and Umesh.
1 In 0.53 Ga 0.47 As MOSFETs with 5 nm channel and self-aligned source/drain by MBE regrowth Uttam Singisetti PhD Defense Aug 21, 2009 *
Optional Reading: Pierret 4; Hu 3
Lecture 19 OUTLINE The MOSFET: Structure and operation
Modern VLSI Design 3e: Chapter 2 Copyright  1998, 2002 Prentice Hall PTR Topics n Derivation of transistor characteristics.
280 GHz f T InP DHBT with 1.2  m 2 base-emitter junction area in MBE Regrown-Emitter Technology Yun Wei*, Dennis W. Scott, Yingda Dong, Arthur C. Gossard,
100+ GHz Transistor Electronics: Present and Projected Capabilities , fax 2010 IEEE International Topical.
Szu-Wei Huang, C-V Lab, GIEE of NTU 1 黃 思 維 F Graduate Institute of Electronics Engineering National Taiwan University Advanced Multi-Gate Technologies.
Nanometer InP Electron Devices for VLSI and THz Applications
IC Process Integration
Limitations of Digital Computation William Trapanese Richard Wong.
Prospects for High-Aspect-Ratio FinFETs in Low-Power Logic Mark Rodwell, Doron Elias University of California, Santa Barbara 3rd Berkeley Symposium on.
2010 IEEE Device Research Conference, June 21-23, Notre Dame, Indiana
Comparison of Ultra-Thin InAs and InGaAs Quantum Wells and
University of California Santa Barbara Yingda Dong Molecular Beam Epitaxy of Low Resistance Polycrystalline P-Type GaSb Y. Dong, D. Scott, Y. Wei, A.C.
University of California Santa Barbara Yingda Dong Characterization of Contact Resistivity on InAs/GaSb Interface Y. Dong, D. Scott, A.C. Gossard and M.J.
Lecture 23 OUTLINE The MOSFET (cont’d) Drain-induced effects Source/drain structure CMOS technology Reading: Pierret 19.1,19.2; Hu 6.10, 7.3 Optional Reading:
III-V/Ge Channel Engineering for Future CMOS
Application of Silicon-Germanium in the Fabrication of Ultra-shallow Extension Junctions of Sub-100 nm PMOSFETs P. Ranade, H. Takeuchi, W.-H. Lee, V. Subramanian,
III-V MOSFETs: Scaling Laws, Scaling Limits,Fabrication Processes A. D. Carter, G. J. Burek, M. A. Wistey*, B. J. Thibeault, A. Baraskar, U. Singisetti,
Device Research Conference, 2005 Zach Griffith and Mark Rodwell Department of Electrical and Computer Engineering University of California, Santa Barbara,
12 nm-Gate-Length Ultrathin-Body InGaAs/InAs MOSFETs with 8
2009 Electronic Materials Conference Ashish Baraskar June 24-26, 2009 – University Park, PA 1 High Doping Effects on In-situ and Ex-situ Ohmic Contacts.
Technology Development for InGaAs/InP-channel MOSFETs
Ultrathin InAs-Channel MOSFETs on Si Substrates Cheng-Ying Huang 1, Xinyu Bao 2, Zhiyuan Ye 2, Sanghoon Lee 1, Hanwei Chiang 1, Haoran Li 1, Varistha Chobpattana.
University of California, Santa Barbara
Improved Regrowth of Self-Aligned Ohmic Contacts for III-V FETs North American Molecular Beam Epitaxy Conference (NAMBE), Mark A. Wistey Now at.
III-V CMOS: Device Design & Process Flows , fax ESSDERC Workshop on Germanium and III-V MOS Technology, Sept.
THz Bipolar Transistor Circuits: Technical Feasibility, Technology Development, Integrated Circuit Results ,
III-V MOS: Planar and Fin Technologies 2014 MRS Spring Meeting, April 23, San Francisco. M.J.W. Rodwell, UCSB III-V MOS: S. Lee, C.-Y. Huang, D. Elias,
Process Technologies For Sub-100-nm InP HBTs & InGaAs MOSFETs M. A. Wistey*, U. Singisetti, G. J. Burek, B. J. Thibeault, A. Baraskar, E. Lobisser, V.
Indium Phosphide and Related Materials
Uttam Singisetti. , Mark A. Wistey, Greg J. Burek, Ashish K
ISCS 2008 InGaAs MOSFET with self-aligned Source/Drain by MBE regrowth Uttam Singisetti*, Mark A. Wistey, Greg J. Burek, Erdem Arkun, Ashish K. Baraskar,
Guided by: Prof.J.D.PRADHAN Submitted By: K.Anurag Regn no:
Contact Resistance Modeling and Analysis of HEMT Devices S. H. Park, H
Lower Limits To Specific Contact Resistivity
Device Research Conference, June 19, 2012
Metal Semiconductor Field Effect Transistors
Contact Resistance Modeling in HEMT Devices
High Transconductance Surface Channel In0. 53Ga0
Nanowire Gate-All-Around (GAA) FETs
Optional Reading: Pierret 4; Hu 3
Ultra Low Resistance Ohmic Contacts to InGaAs/InP
Record Extrinsic Transconductance (2. 45 mS/μm at VDS = 0
Beyond Si MOSFETs Part 1.
Presentation transcript:

A Self-Aligned Epitaxial Regrowth Process for Sub-100-nm III-V FETs A. D. Carter, G. J. Burek, M. A. Wistey*, B. J. Thibeault, A. Baraskar, U. Singisetti, J. Cagnon, S. Stemmer, A. C. Gossard, C. Palmstrøm University of California, Santa Barbara *Now at Notre Dame B. Shin, E. Kim, P. C. McIntyre Stanford University Y.-J. Lee Intel B. Yue, L. Wang, P. Asbeck, Y. Taur University of California, San Diego 2010 Materials Research Society Spring Meeting, April 7, San Francisco Mark. Rodwell, University of California, Santa Barbara

10nm gate length, < 10nm electrode spacings, < 10nm contact widths III-V MOS: What is needed ? True MOS device structures at ~10 nm gate lengths Drive currents >> 1 1/2-Volt V dd. Dielectrics: < 0.6 nm EOT, D it < /cm 2 -eV...and the channel must be grown on Silicon Low access resistances. Density-of-states limits. Low dielectric D it must survive FET process. impacts I on, I off,... < 3 nm channel, < 1 nm gate-channel separation, < 3nm deep junctions Fully self-aligned processes: N+ S/D, S/D contacts

FETs

laws in constant-voltage limit: FET Scaling Laws FET parameterchange gate lengthdecrease 2:1 current density (mA/  m), g m (mS/  m) increase 2:1 channel 2DEG electron densityincrease 2:1 electron mass in transport directionconstant gate-channel capacitance densityincrease 2:1 dielectric equivalent thicknessdecrease 2:1 channel thicknessdecrease 2:1 channel density of statesincrease 2:1 source & drain contact resistivitiesdecrease 4:1 Current densities should double Charge densities must double Changes required to double device / circuit bandwidth.

Semiconductor Capacitances Must Also Scale

Calculating Current: Ballistic Limit Do we get highest current with high or low mass ?

Standard InGaAs MOSFETs have superior I d to Si at large EOT. Standard InGaAs MOSFETs have inferior I d to Si at small EOT. Drive Current Versus Mass, # Valleys, and EOT

Transit Delay versus Effective Mass Low m* gives lowest transit time, lowest C gs at any EOT.

III-V MOSFETs for VLSI: Why and Why Not. Lower mass → Higher Carrier Velocity→ lower input capacitance improved gate delay in transistor-capacitance-limited gates not relevant in wiring-capacitance-limited gates (i.e. most of VLSI) But this advantage is widely misunderstood in community InGaAs channels→ higher I d / W g than Si only for thick dielectrics....LOWER I d / W g than Si for thin dielectrics break-even point is at ~0.5 nm EOT More importantly: potential for higher drive current improved gate delay in wiring-capacitance-limited gates (VLSI) We will introduce (DRC2010) candidate III-V channel designs providing higher I d / W g than Si even for small EOT

Contacts: Low Resistivity, High Current Density

For each 2:1 reduction in gate length: FET: Key Regions, Key Challenges gate dielectric : 2:1 reduction in thickness limit: tunneling→high-K limit (high-K): defects contacts : 4:1 reduction in contact resistivity 2:1 shallower 4:1 higher J channel : 2:1 increase in electron same voltage limit: # available electron states / area / energy "density of states bottleneck"; perceived to be fundamental

Highly Scaled FET Process Flows

10 nm gate length, < 10nm electrode spacings, < 10nm contact widths Scalable nm III-V MOSFET: what is needed True MOS device structures at ~10 nm gate lengths < 3 nm channel, < 1 nm gate-channel separation, < w nm deep junctions Fully self-aligned processes: N+ S/D, S/D contacts

InGaAs MOSFET with N+ Source/Drain by MEE Regrowth 1 1 Singisetti, ISCS Wistey, EMC Baraskar, EMC 2009 Interface HAADF-STEM 1* 2 nm InGaAs regrowth Self-aligned source/drain defined by MBE regrowth 2 Self-aligned in-situ Mo contacts 3 Process flow & dimensions selected for nm L g design; * TEM by J. Cagnon, Susanne Stemmer Group, UCSB

Regrown S/D process: key features Vertical S/D doping profile set by MBE no n+ junction extension below channel abrupt on few-nm scale Self-aligned & low resistivity...source / drain N+ regions...source / drain metal contacts Gate-first gate dielectric formed after MBE growth uncontaminated / undamaged surface

Process flow* * Singisetti et al, 2008 ISCS, September, Frieburg Singisetti et al ; Physica Status Solidi C, vol. 6, pp. 1394,2009

SiO2 W Cr FIB Cross-section Damage free channel Process scalable to ~10 nm gate lengths Key challenge in S/D process: gate stack etch Requirement: avoid damaging semiconductor surface: Approach: Gate stack with multiple selective etches * * Singisetti et al ; Physica Status Solidi C, vol. 6, pp. 1394,2009

Challenge in S/D process: dielectric sidewall n s under sidewall: electrostatic spillover from source, gate Sidewall must be kept thin: avoid carrier depletion, avoid source starvation spillover

MBE Regrowth→ Gap Near Gate→ Source Resistance W / Cr / SiO 2 gate SEM Shadowing by gate: No regrowth next to gate Gap region is depleted of electrons High source resistance because of electron depletion in the gap MBE growth by Dr. Mark Wistey, device fabrication and characterization by U. Singisetti W/Cr gate Mo+InGaAs Ti/Au Pad Gap in regrowth SiO 2 cap

Migration Enhanced Epitaxial (MEE) S/D Regrowth* *Wistey, EMC 2008 Wistey, ICMBE 2008 High T migration enhanced Epitaxial (MEE) regrowth* regrowth interface gate No Gap 45 o tilt SEM Top of SiO 2 gate No Gap Side of gate High temperature migration enhanced epitaxial regrowth MBE growth by Dr. Mark Wistey, device fabrication and characterization by U. Singisetti

Regrown S/D III-V MOSFET: Images Cross-section after regrowth, but before Mo deposition Top view of completed device

Source Resistance: electron depletion near gate Electron depletion in regrowth shadow region (R 1 ) Electron depletion in the channel under SiN x sidewalls (R 2 ) R1 R2

SiO 2 W Cr InGaAs InAlAs Regrowth profile dependence on As flux* Uniform filling with lower As flux multiple InGaAs regrowths with InAlAs marker layers increasing As flux regrowth surface * Wistey et al, EMC 2009 Wistey et al NAMBE 2009 MBE growth by Dr. Mark Wistey, device fabrication and characterization by U. Singisetti uniform filling

InAs source/drain regrowth 1 Wistey et al, EMC 2009 Wistey et al NAMBE Bhargava et al, APL 1997 InAs regrowth Gate side of gate top of gate Mo S/D metal with N+ InAs underneath Improved InAs regrowth with low As flux for uniform filling 1 InAs less susceptible to electron depletion: Fermi pinning above E c 2

In-Situ Refractory Ohmics on MBE Regrown N-InGaAs In-situ Mo on n-InAs In-situ Mo on n-InGaAs ρ c = 0.6 ± 0.4 Ω·µm 2 n = 1×10 20 cm -3 ρ c = 1.0 ± 0.6 Ω·µm 2 n = 5×10 19 cm -3 Interface HAADF-STEM * 2 nm InGaAs regrowth TEM by Dr. J. Cagnon, Stemmer Group, UCSB A. Baraskar

100 nm InGaAs grown in MBE 30 nm Mo 15 nm Pd/Ti diffusion Benefits of refractory contacts After 250°C anneal, Pd/Ti/Pd/Au diffuses 15nm into semiconductor deposited Pd thickness: 2.5nm Refractory Mo contacts do not diffuse measurably Refractory, non-diffusive metal contacts for thin semiconductor layers A.. Baraskar

Resistivity of MEE Regrowth ~50 nm InAs regrowth has ~22  sheet resistivity Contact resistivity is ~1.2  -  m  m TLM width Voltage (mV)

Self-Aligned Contacts: Height Selective Etching* Mo InGaAs PR * Burek et al, J. Cryst. Growth 2009 Dummy gate No regrowth

Fully Self-Aligned III-V MOSFET Process

Subthreshold characteristics

10-30 nm Process Development Cr + SiN x SiO 2 + SiN x W + SiN x Field: SiN x Excellent structural yield in sub-100nm process flow

27 nm Self-Aligned InGaAs MOSFET Self-aligned N+ S/D regrowth shallow, high doping, low sheet  Self-aligned Mo in-situ S/D contacts: low , refractory → shallow

HAADF TEM

STEM is chemical contrast imaging -> Is the regrowth sinking?

Conclusion

III-V MOS With appropriate design, III-V channels can provide > current than Si...even for highly scaled devices But present III-V device structures are also unsuitable for 10 nm MOS large access regions, low current densities, deep junctions Raised S/D regrowth process is a path towards a nm VLSI III-V device

(end)