CARBON NANOTUBES (A SOLUTION FOR IC INTERCONNECT) By G. Abhilash 10H61D5720.

Slides:



Advertisements
Similar presentations
A computer uses electric current to process information.
Advertisements

Heat Generation in Electronics Thermal Management of Electronics Reference: San José State University Mechanical Engineering Department.
Topical lecture: Quantum Size Effects in Nanostructures A. Tavkhelidze Ilia State University.
Chun-Chieh Lu Carbon-based devices on flexible substrate 1.
EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Review 2.
Chapter 25 Capacitance Key contents Capacitors Calculating capacitance
Electrical Techniques MSN506 notes. Electrical characterization Electronic properties of materials are closely related to the structure of the material.
Adapted from Digital Integrated Circuits, 2nd Ed. 1 IC Layout.
Lecture 101 Introduction to Energy Storage Elements: The Capacitor.
The Wire Scaling has seen wire delays become a major concern whereas in previous technology nodes they were not even a secondary design issue. Wire parasitic.
Modeling and Evaluation of High-Speed Signal propagation in Local, Intermediate and Global Interconnects A. Yamilov, M. Koledintseva and J. Drewniak.
ECE201 Lect-171 Capacitors (6.1); Inductors (6.2); LC Combinations (6.3) Dr. Holbert April 5, 2006.
מודלים של חיבורי ביניים מודלים חשמליים של חיבורי ביניים עבור מעגלי VLSI פרופ ’ יוסי שחם המחלקה לאלקטרוניקה פיזיקלית, אוניברסיטת ת ” א.
04/09/02EECS 3121 Lecture 25: Interconnect Modeling EECS 312 Reading: 8.3 (text), 4.3.2, (2 nd edition)
Temperature-Aware Design Presented by Mehul Shah 4/29/04.
Circuit characterization and Performance Estimation
Lecture 101 Capacitors (5.1); Inductors (5.2); LC Combinations (5.3) Prof. Phillips March 7, 2003.
Technologies for Realizing Carbon Nano-Tube (CNT) Vias Clarissa Cyrilla Prawoto 26 November 2014.
Gaxela N, Manaetja K.P, Mulaudzi S, Senosi R Supervisor: Dr V.L.Katkof.
 Rate (how fast) at which charges pass a given point  Measured in Amperes or amps  Current (I)  Electrons moving in a wire make up current and provide.
ECE 424 – Introduction to VLSI Design
Electricity & Magnetism Word Challenge. Some computer chips are made of a substance that conducts electric current better than an insulator but not as.
INAC The NASA Institute for Nanoelectronics and Computing Purdue University Circuit Modeling of Carbon Nanotubes and Their Performance Estimation in VLSI.
Passive components and circuits
Carbon Nanotubes David McDermott Jake Borrajo
Static Electricity Electrical Charge: Is a concentration of electricity.
Fall 2001ENGR201 Capacitance & Inductance1 Capacitor, also called electrical condenser, device for storing an electrical charge. In its simplest form a.
Research on Analysis and Physical Synthesis Chung-Kuan Cheng CSE Department UC San Diego
NRAM.
Limitations of Digital Computation William Trapanese Richard Wong.
PROPERTIES OF CARBON NANOTUBES
EE141 © Digital Integrated Circuits 2nd Wires 1 Digital Integrated Circuits A Design Perspective The Interconnect Jan M. Rabaey Anantha Chandrakasan Borivoje.
EE415 VLSI Design 1 The Wire [Adapted from Rabaey’s Digital Integrated Circuits, ©2002, J. Rabaey et al.]
Carbon nanotube is a magic material. The unique structure brings it amazing characteristics. Lots of people believe that the usage of carbon nanotube will.
Carbon Nanotubes Related Devices and Applications
111/16/2015 ELECTRICITY AND MAGNETISM Phy 220 Chapter 4: Capacitors.
ECE201 Lect-281 Capacitors (5.1); Inductors (5.2); Dr. S. M. Goodnick November 7, 2003.
ASST. PROF. DR. PURIT THANAKIJKASEM PRESENT TO BY PAPOB LERTAPANON JITTAPORN SONGPRAKOB
11/22/2004EE 42 fall 2004 lecture 351 Lecture #35: data transfer Last lecture: –Communications synchronous / asynchronous –Buses This lecture –Transmission.
Session 5: Projects 1. Physical Limits of Technology Scaling : 2 SCALING AND EFFICIENCY.
INTERCONNECT MODELING M.Arvind 2nd M.E Microelectronics
1 Interconnect/Via. 2 Delay of Devices and Interconnect.
VLSI INTERCONNECTS IN VLSI DESIGN - PROF. RAKESH K. JHA
ADVANCED HIGH DENSITY INTERCONNECT MATERIALS AND TECHNIQUES DIVYA CHALLA.
By Nasir Mahmood.  The NoC solution brings a networking method to on-chip communication.
Coupling and Cross-talk Analysis in High Speed Interconnects
Interconnect/Via.
CARBON NANOTUBES By ANIKET KANSE
Nano and Giga Challenges in Microelectronics Symposium and Summer School Research and Development Opportunities Cracow Sep , 2004 Afternoon 4: Carbonanotubes.
Effects of Inductance on the Propagation Delay and Repeater Insertion in VLSI Circuits Yehea I. Ismail and Eby G. Friedman, Fellow, IEEE.
I. Introduction  Carbon nanotubes (CNTs), composed of carbon and graphite sheets, are tubular shaped with the appearance of hexagonal mesh with carbon.
Carbon Nanotubes and Its Devices and Applications
The Different Types of Inductors and Their Affecting Factors
Carbon Allotropes And Its Nanostructures
CLOSED LOOP SPEED CONTROL OF DC MOTOR WITH PWM TECHNIQUE
14 February, 2004SLIP, 2004 Self-Consistent Power/Performance/Reliability Analysis for Copper Interconnects Bipin Rajendran, Pawan Kapur, Krishna C. Saraswat.
Resistance Natural feature of materials
A Seminar presentation on
Circuit characterization and Performance Estimation
DIAMOND CHIP PRESENTED BY : A.RAKESH KIRAN
Quantum Size Effects in Nanostructures
Carbon Nanotube Vias By: Rhesa Nathanael.
Prof. Jang-Ung Park (박장웅)
TO MEET AUTOMOTIVE POWER NEEDS
Resistance Natural feature of materials
Introduction COURSE OBJECTIVE:
Chapter 25 Capacitance Key contents Capacitors Calculating capacitance
Lab: AC Circuits Integrated Science II.
Presentation transcript:

CARBON NANOTUBES (A SOLUTION FOR IC INTERCONNECT) By G. Abhilash 10H61D5720

Introduction:  As the process technology scales into the nanoscale regime, the impact of onchip communication on performance and reliability continues to increase.  As the interconnect performance depends on both wire and driver transistor characteristics, alternative interconnect and device technologies must be investigated for onchip communication in future integrated circuits.  Increasing resistivity, rising demands on current density and problems due to electromigration of copper interconnects at nanoscale regime, are driving the need for CNT’s as interconnects.

IC Interconnects  Interconnects in Integrated Circuit distribute Clock and other signals and provide power/ground to the various integrated circuits.  The interconnect in an integrated circuit becoming the dominant factor in determining system performance and power dissipation. Interconnects are three types:  Local Interconnects Connecting gates and transistors with in a functional block.  Intermediate Interconnects are provides clock and signal distribution with in a functional block.  Global interconnects provides clock and signal distribution between the functional blocks and deliver power/ground to all functions.

Disadvantages of Using Cu Interconnects:  The traditional copper interconnects will suffer from significant increase in resistivity and from electromigration problems due to lower current densities supported by the copper conductor.  The increase in resistivity leads to increase in propagation delay of the signal.  The standard copper (Cu) interconnect will become a major hurdle for onchip communication due to high resistivity and electromigration.  Researches have proved that in copper, burn out occurred at current densities 80 MA/cm2.

CNT’s as Interconnects  Carbon nanotubes (CNTs) have been proposed as possible replacements for copper interconnect due to their large conductivity and current carrying capabilities.  Carbon Nanotubes are allotropes of carbon with a cylindrical nanostructure.  In 1991 Carbon nanotubes (CNTs) were discovered by Sumio Lijima.  They are mainly classified into two types. SWNTMWNTGraphene

Properties of CNTs  Carbon nanotubes are the strongest and stiffest materials yet discovered in terms of tensile strength and elastic modulus respectively. Tensile strength of 63 gigapascals (GPa).  Nanotubes are very good thermal conductors, Low resistivity (~1 μΩ-cm), High current carrying capacity.  The temperature stability of carbon nanotubes is estimated to be up to 2800 °C in vacuum and about 750 °C in air.  Due to nanoscale dimensions, electrons propagate only along the tube's axis. Hence, carbon nanotubes are One-Dimensional.  CNT’s have large current density(10^10A/cm2). which is 1000 times more than copper.

Modeling of Carbon Nanotube Interconnects Consider a system of parallel carbon nanotubes as shown. Radius of Nanotube = r Separation between nanotubes = d Length of Nanotube = l R = Resistance L = Kinetic Inductance Cc= Coupling Capacitance Cq = Quantum Capacitance RLC Model Resistance: By Ji-Yong Park have measured the dc resistance of CNT’s of diameter 1.8 nm. In the incoherent limit for four channels of conduction, the differential resistance of a Nanotube of length (l) is given by for l >for l < Where = Mean free path(mfp) h = Plank’s constant e = Electronic charge

Inductance: For one dimensional CNT conductor the kinetic inductance dominates magnetic inductance. Kinetic inductance(L k ) is V f = Fermi velocity of CNT(~8x10^5 m/s) Capacitance: For CNT interconnects laid down in parallel, two distinct capacitances are imported, they are coupling capacitance, quantum capacitance.

Other Applications of CNTs  The joining of two carbon Nanotubes with different electrical properties to form a diode has been proposed.  CNT based Ultra-Capacitors have high energy density, Capacitances up to 5000 Farads can be achieved.  CNT based Transistors work at room temperature and are capable of fast digital switching using a Single Electron.  CNT sponge can filter any toxic sludge from water. As CNT sponge is Hydrophobic, it absorbs everything but water.

Conclusion Inspite of the high current density, CNT interconnects suffer from very high intrinsic resistance and kinetic inductance and severely limits high frequency of operation. Performance can be improved by routing the same signal through parallel CNTs. It has been shown that it requires a substantial increase in the number of metal layers to meet the performance of copper in the same die area. Thus CNTs provide reliable interconnect solutions but are limited in terms of performance in the high performance digital VLSI.

Thank you