ISCS 2008 InGaAs MOSFET with self-aligned Source/Drain by MBE regrowth Uttam Singisetti*, Mark A. Wistey, Greg J. Burek, Erdem Arkun, Ashish K. Baraskar,

Slides:



Advertisements
Similar presentations
MICROWAVE FET Microwave FET : operates in the microwave frequencies
Advertisements

DRAFT - NOT FOR PUBLICATION 14 July 2004 – ITRS Summer Conference ITRS FEP Challenges Continued scaling will require the introduction of new materials.
IEEE Device Research Conference, June , Notre Dame
Derek Wright Monday, March 7th, 2005
Improved Migration-Enhanced Epitaxy for Self-Aligned InGaAs Devices Electronic Materials Conference (EMC), Mark A. Wistey University of California,
Simulations of sub-100nm strained Si MOSFETs with high- gate stacks
ITRS 2003 Front End Processing Challenges David J. Mountain *Gate Stack Leff Control *Memory Cells Dopant Control Contacts *Starting Material FEP Grand.
Zhang Xintong 11/26/2014 Process technologies for making FinFETs.
High performance 110 nm InGaAs/InP DHBTs in dry-etched in-situ refractory emitter contact technology Vibhor Jain, Evan Lobisser, Ashish Baraskar, Brian.
2007 DRC Ultra Low Resistance Ohmic Contacts to InGaAs/InP Uttam Singisetti*, A.M. Crook, E. Lind, J.D. Zimmerman, M. A. Wistey, M.J.W. Rodwell, and A.C.
1 InGaAs/InP DHBTs demonstrating simultaneous f t / f max ~ 460/850 GHz in a refractory emitter process Vibhor Jain, Evan Lobisser, Ashish Baraskar, Brian.
Device Research Conference 2011
In-situ and Ex-situ Ohmic Contacts To Heavily Doped p-InGaAs TLM Fabrication by photolithography and liftoff Ir dry etched in SF 6 /Ar with Ni as etch.
1 Uttam Singisetti*, Man Hoi Wong, Jim Speck, and Umesh Mishra ECE and Materials Departments University of California, Santa Barbara, CA 2011 Device Research.
1 Scalable E-mode N-polar GaN MISFET devices and process with self-aligned source/drain regrowth Uttam Singisetti*, Man Hoi Wong, Sansaptak Dasgupta, Nidhi,
NAMBE 2010 Ashish Baraskar, UCSB 1 In-situ Iridium Refractory Ohmic Contacts to p-InGaAs Ashish Baraskar, Vibhor Jain, Evan Lobisser, Brian Thibeault,
DRC mS/  m In 0.53 Ga 0.47 As MOSFET with 5 nm channel and self-aligned epitaxial raised source/drain Uttam Singisetti*, Mark A. Wistey, Greg.
IPRM 2010 Ashish Baraskar 1 High Doping Effects on in-situ Ohmic Contacts to n-InAs Ashish Baraskar, Vibhor Jain, Uttam Singisetti, Brian Thibeault, Arthur.
Ashish Baraskar 1, Mark A. Wistey 3, Evan Lobisser 1, Vibhor Jain 1, Uttam Singisetti 1, Greg Burek 1, Yong Ju Lee 4, Brian Thibeault 1, Arthur Gossard.
2010 Electronic Materials Conference Ashish Baraskar June 23-25, 2010 – Notre Dame, IN 1 In-situ Ohmic Contacts to p-InGaAs Ashish Baraskar, Vibhor Jain,
Elettronica D. AA Digital Integrated Circuits© Prentice Hall 1995 Manufacturing Process CMOS Manufacturing Process.
1 InGaAs/InP DHBTs in a planarized, etch-back technology for base contacts Vibhor Jain, Evan Lobisser, Ashish Baraskar, Brian J Thibeault, Mark Rodwell.
1 Interface roughness scattering in ultra-thin GaN channels in N-polar enhancement-mode GaN MISFETs Uttam Singisetti*, Man Hoi Wong, Jim Speck, and Umesh.
1 In 0.53 Ga 0.47 As MOSFETs with 5 nm channel and self-aligned source/drain by MBE regrowth Uttam Singisetti PhD Defense Aug 21, 2009 *
Optional Reading: Pierret 4; Hu 3
In situ TEM Study of Ni-InGaAs Solid-State Reactions Renjie Chen.
280 GHz f T InP DHBT with 1.2  m 2 base-emitter junction area in MBE Regrown-Emitter Technology Yun Wei*, Dennis W. Scott, Yingda Dong, Arthur C. Gossard,
MOHD YASIR M.Tech. I Semester Electronics Engg. Deptt. ZHCET, AMU.
Szu-Wei Huang, C-V Lab, GIEE of NTU 1 黃 思 維 F Graduate Institute of Electronics Engineering National Taiwan University Advanced Multi-Gate Technologies.
IC Process Integration
Limitations of Digital Computation William Trapanese Richard Wong.
Prospects for High-Aspect-Ratio FinFETs in Low-Power Logic Mark Rodwell, Doron Elias University of California, Santa Barbara 3rd Berkeley Symposium on.
2010 IEEE Device Research Conference, June 21-23, Notre Dame, Indiana
Comparison of Ultra-Thin InAs and InGaAs Quantum Wells and
University of California Santa Barbara Yingda Dong Molecular Beam Epitaxy of Low Resistance Polycrystalline P-Type GaSb Y. Dong, D. Scott, Y. Wei, A.C.
University of California Santa Barbara Yingda Dong Characterization of Contact Resistivity on InAs/GaSb Interface Y. Dong, D. Scott, A.C. Gossard and M.J.
Lecture 23 OUTLINE The MOSFET (cont’d) Drain-induced effects Source/drain structure CMOS technology Reading: Pierret 19.1,19.2; Hu 6.10, 7.3 Optional Reading:
III-V/Ge Channel Engineering for Future CMOS
Application of Silicon-Germanium in the Fabrication of Ultra-shallow Extension Junctions of Sub-100 nm PMOSFETs P. Ranade, H. Takeuchi, W.-H. Lee, V. Subramanian,
III-V MOSFETs: Scaling Laws, Scaling Limits,Fabrication Processes A. D. Carter, G. J. Burek, M. A. Wistey*, B. J. Thibeault, A. Baraskar, U. Singisetti,
Device Research Conference, 2005 Zach Griffith and Mark Rodwell Department of Electrical and Computer Engineering University of California, Santa Barbara,
UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY
12 nm-Gate-Length Ultrathin-Body InGaAs/InAs MOSFETs with 8
Frequency Limits of InP-based Integrated Circuits , fax Collaborators (III-V MOS) A. Gossard, S. Stemmer,
2009 Electronic Materials Conference Ashish Baraskar June 24-26, 2009 – University Park, PA 1 High Doping Effects on In-situ and Ex-situ Ohmic Contacts.
Technology Development for InGaAs/InP-channel MOSFETs
Ultrathin InAs-Channel MOSFETs on Si Substrates Cheng-Ying Huang 1, Xinyu Bao 2, Zhiyuan Ye 2, Sanghoon Lee 1, Hanwei Chiang 1, Haoran Li 1, Varistha Chobpattana.
University of California, Santa Barbara
Suppression of Random Dopant-Induced Threshold Voltage Fluctuations in Sub-0.1μm MOSFET’s with Epitaxial and δ-Doped Channels A. Asenov and S. Saini, IEEE.
Improved Regrowth of Self-Aligned Ohmic Contacts for III-V FETs North American Molecular Beam Epitaxy Conference (NAMBE), Mark A. Wistey Now at.
III-V CMOS: Device Design & Process Flows , fax ESSDERC Workshop on Germanium and III-V MOS Technology, Sept.
THz Bipolar Transistor Circuits: Technical Feasibility, Technology Development, Integrated Circuit Results ,
C. Kadow, J.-U. Bae, M. Dahlstrom, M. Rodwell, A. C. Gossard *University of California, Santa Barbara G. Nagy, J. Bergman, B. Brar, G. Sullivan Rockwell.
Device Research Conference 2007 Erik Lind, Adam M. Crook, Zach Griffith, and Mark J.W. Rodwell Department of Electrical and Computer Engineering University.
III-V MOS: Planar and Fin Technologies 2014 MRS Spring Meeting, April 23, San Francisco. M.J.W. Rodwell, UCSB III-V MOS: S. Lee, C.-Y. Huang, D. Elias,
Process Technologies For Sub-100-nm InP HBTs & InGaAs MOSFETs M. A. Wistey*, U. Singisetti, G. J. Burek, B. J. Thibeault, A. Baraskar, E. Lobisser, V.
Indium Phosphide and Related Materials
Uttam Singisetti. , Mark A. Wistey, Greg J. Burek, Ashish K
A Self-Aligned Epitaxial Regrowth Process for Sub-100-nm III-V FETs A. D. Carter, G. J. Burek, M. A. Wistey*, B. J. Thibeault, A. Baraskar, U. Singisetti,
Contact Resistance Modeling and Analysis of HEMT Devices S. H. Park, H
Lower Limits To Specific Contact Resistivity
Device Research Conference, June 19, 2012
Contact Resistance Modeling in HEMT Devices
High Transconductance Surface Channel In0. 53Ga0
Optional Reading: Pierret 4; Hu 3
Ultra Low Resistance Ohmic Contacts to InGaAs/InP
Record Extrinsic Transconductance (2. 45 mS/μm at VDS = 0
Lecture #15 OUTLINE Diode analysis and applications continued
Beyond Si MOSFETs Part 1.
Presentation transcript:

ISCS 2008 InGaAs MOSFET with self-aligned Source/Drain by MBE regrowth Uttam Singisetti*, Mark A. Wistey, Greg J. Burek, Erdem Arkun, Ashish K. Baraskar, Brian J. Thibeault, C. J. Palmstrøm, A.C. Gossard, and M.J.W. Rodwell ECE and Materials Departments University of California, Santa Barbara, CA, USA Yanning Sun, Edward W. Kiewra, and D.K. Sadana IBM T J Watson Research Center, Yorktown Heights, NY, USA 2008 International Symposium on Compound Semiconductors Rust, Germany *

ISCS 2008 Outline Motivation: III-V MOSFETs Approach: Self-aligned source/drain by MBE regrowth FET and Contacts Results Conclusion

ISCS 2008 Why III-V MOSFETs Alternative III-V channel materials I d / W g = qn s v eff I d / Q transit = v eff / L g III-V materials→ lower m*→ higher velocities (v eff ) Silicon MOSFETs: Scaling limit beyond sub-22 nm L g Non-feasibility of sub-0.5 nm equivalent oxide thickness (EOT)

ISCS nm InGaAs MOSFET 1 Rodwell. IPRM Fischetti. IEDM nm EOT gate dielectric 5 nm channel with back barrier 15  m source resistance 5×10 19 cm -3 source active doping 2 Key Challenges Predicted drive current: ~5 mA/  m 1,2

ISCS 2008 InGaAs MOSFET with Source/Drain regrowth Process scalable to 22 nm Source/Drain defined by MBE regrowth Regrowth InGaAs, in situ Mo contact Resistance: 0.5  m 2 (2.5  m)* * Wistey, EMC 2008

ISCS 2008 Gate definition Process flow Sidewall, Source/Drain

ISCS 2008 Gate Definition: Challenges Must scale to 22 nm Dielectric cap surrounding the gate for source/drain regrowth Metal & Dielectric etch must stop in 5 nm channel Dry etch must not damage thin channel Process must leave surfaces ready for S/D regrowth

ISCS 2008 Gate Stack: Multiple Layers & Selective Etches Key: stop etch before reaching dielectric, then gentle low-power etch to stop on dielectric SiO2 W Cr FIB Cross-section Damage free channel Process scalable to sub-100 nm gate lengths

ISCS 2008 Dielectric etch and sidewall formation Dielectric etchSidewall definitionInGaAs etch

ISCS 2008 Surface cleaning before regrowth Clean organics by 30 min UV Ozone Ex-situ HCl:H 2 O clean In-situ 30 min H clean c(4×2) reconstruction before regrowth Defect free regrowth Interface HAADF-STEM * 2 nm InGaAs regrowth * Wistey, EMC 2008 Epi-ready surface before regrowth, defect free regrowth on processed wafer

ISCS 2008 Height selective Etching* * Burek, J.Cryst.Growth, submitted for publication Mo InGaAs PR Dummy gate No regrowth

ISCS 2008 MOSFET characterstics Extremely low drive current: 2  A/  m Extremely high R on = k  Why is R s so high? R s ~ 1 M  m!

ISCS 2008 Rough InGaAs regrowth After regrowth SEM Source Resistance 1: Poly Growth on InP InGaAs regrowth on unprocessed thin InP* * Wistey (in preparation) Sheet resistance doesn’t explain 1 MΩ-µm source resistance. Spotty RHEED during regrowth: faceted growth InP desorbs P during hydrogen clean or regrowth: InP converts to highly- strained InAs* From TLM measurement, R sh = 310  /□,  c =130  m 2 and R s = 300  m

ISCS 2008 Source Resistance 2: Gap in Regrowth W / Cr / SiO 2 gate SEM No regrowth Electron depletion No regrowth SEM InGaAs regrowth No regrowth within 200 nm of gate because of shadowing by gate Gap region is depleted of electrons Breakdown at Vg=0V, ~ 8V, consistent with 400 nm gap and InGaAs breakdown field of 20V/  m* High source resistance because of electron depletion in the gap *

ISCS 2008 Regrowth: Solutions *Wistey, EMC 2008 Wistey, ICMBE 2008 smooth InGaAs regrowth on thin InGaP* High T migration enhanced Epitaxial (MEE) regrowth* regrowth interface gate No Gap

ISCS 2008 Scalable III-V MOSFET process with self-aligned source/drain with MBE regrowth Gate proces and H clean leave a epi-ready 5 nm channel Low drive current in initial devices because of break in regrowth Improved regrowth techniques in next generation of devices Conclusion This work was supported by Semiconductor Research Corporation under the Non-classical CMOS Research Program