Dirk Stroobandt Ghent University Electronics and Information Systems Department Multi-terminal Nets do Change Conventional Wire Length Distribution Models.

Slides:



Advertisements
Similar presentations
Design Rule Generation for Interconnect Matching Andrew B. Kahng and Rasit Onur Topaloglu {abk | rtopalog University of California, San Diego.
Advertisements

OCV-Aware Top-Level Clock Tree Optimization
Cadence Design Systems, Inc. Why Interconnect Prediction Doesn’t Work.
Fast Algorithms For Hierarchical Range Histogram Constructions
Hoofdstuk 6 Het voorspellen van prestaties Prof. dr. ir. Dirk Stroobandt Academiejaar
June 6, Using Negative Edge Triggered FFs to Reduce Glitching Power in FPGA Circuits Tomasz S. Czajkowski and Stephen D. Brown Department of Electrical.
1 Arkadiy Morgenshtein, Eby G. Friedman, Ran Ginosar, Avinoam Kolodny Technion – Israel Institute of Technology Timing Optimization in Logic with Interconnect.
1 Advancing Supercomputer Performance Through Interconnection Topology Synthesis Yi Zhu, Michael Taylor, Scott B. Baden and Chung-Kuan Cheng Department.
Interconnect Complexity-Aware FPGA Placement Using Rent’s Rule G. Parthasarathy Malgorzata Marek-Sadowska Arindam Mukherjee Amit Singh University of California,
BSPlace: A BLE Swapping technique for placement Minsik Hong George Hwang Hemayamini Kurra Minjun Seo 1.
Improving Placement under the Constant Delay Model Kolja Sulimma 1, Ingmar Neumann 1, Lukas Van Ginneken 2, Wolfgang Kunz 1 1 EE and IT Department University.
X-Architecture Placement Based on Effective Wire Models Tung-Chieh Chen, Yi-Lin Chuang, and Yao-Wen Chang Graduate Institute of Electronics Engineering.
Tanuj Jindal ∗, Charles J. Alpert‡, Jiang Hu ∗, Zhuo Li‡, Gi-Joon Nam‡, Charles B. Winn‡‡ ∗ Department of ECE, Texas A&M University, College Station, Texas.
Coupling-Aware Length-Ratio- Matching Routing for Capacitor Arrays in Analog Integrated Circuits Kuan-Hsien Ho, Hung-Chih Ou, Yao-Wen Chang and Hui-Fang.
SLIP 2008, Newcastle Revisiting Fidelity: A Case of Elmore-based Y-routing Trees Tuhina Samanta*, Prasun Ghosal*, Hafizur Rahaman* and Parthasarathi Dasgupta†
Toward Better Wireload Models in the Presence of Obstacles* Chung-Kuan Cheng, Andrew B. Kahng, Bao Liu and Dirk Stroobandt† UC San Diego CSE Dept. †Ghent.
Clustering short time series gene expression data Jason Ernst, Gerard J. Nau and Ziv Bar-Joseph BIOINFORMATICS, vol
Multiobjective VLSI Cell Placement Using Distributed Simulated Evolution Algorithm Sadiq M. Sait, Mustafa I. Ali, Ali Zaidi.
Problem 1 Defining Netlist Snarl Factor. Some Background A B C D F G EH A B C D F G EH Congested area PlacementRouting A B C D F G E H Netlist == Graph.
Penn ESE Spring DeHon 1 ESE (ESE534): Computer Organization Day 15: March 12, 2007 Interconnect 3: Richness.
International Symposium of Physical Design Sonoma County, CA April 2001ER UCLA UCLA 1 Congestion Estimation During Top-Down Placement Xiaojian Yang Ryan.
Enhanced Dual-Transition Probabilistic Power Estimation with Selective Supergate Analysis Fei Huand Vishwani D. Agrawal Department of ECE, Auburn University,
SLIP 2000April 8, WELCOME! ACM International Workshop on System-Level Interconnect Prediction Dirk Stroobandt General Chair.
On Modeling and Sensitivity of Via Count in SOC Physical Implementation Kwangok Jeong Andrew B. Kahng.
Fuzzy Simulated Evolution for Power and Performance of VLSI Placement Sadiq M. SaitHabib Youssef Junaid A. KhanAimane El-Maleh Department of Computer Engineering.
Dirk Stroobandt Ghent University Electronics and Information Systems Department A Priori System-Level Interconnect Prediction The Road to Future Computer.
Dirk Stroobandt Ghent University Electronics and Information Systems Department A Priori System-Level Interconnect Prediction The Road to Future Computer.
Effects of Global Interconnect Optimizations on Performance Estimation of Deep Sub-Micron Design Yu (Kevin) Cao 1, Chenming Hu 1, Xuejue Huang 1, Andrew.
Estimation of Wirelength Reduction for λ-Geometry vs. Manhattan Placement and Routing H. Chen, C.-K. Cheng, A.B. Kahng, I. Mandoiu, and Q. Wang UCSD CSE.
A Cost-Driven Lithographic Correction Methodology Based on Off-the-Shelf Sizing Tools.
Accurate Pseudo-Constructive Wirelength and Congestion Estimation Andrew B. Kahng, UCSD CSE and ECE Depts., La Jolla Xu Xu, UCSD CSE Dept., La Jolla Supported.
Managing Interconnect Resources Embedded SLIP Tutorial Phillip Christie.
ISPD 2000, San DiegoApr 10, Requirements for Models of Achievable Routing Andrew B. Kahng, UCLA Stefanus Mantik, UCLA Dirk Stroobandt, Ghent.
Fuzzy Evolutionary Algorithm for VLSI Placement Sadiq M. SaitHabib YoussefJunaid A. Khan Department of Computer Engineering King Fahd University of Petroleum.
Interconnect Implications of Growth-Based Structural Models for VLSI Circuits* Chung-Kuan Cheng, Andrew B. Kahng and Bao Liu UC San Diego CSE Dept.
SLIP 2000April 8, Efficient Representation of Interconnection Length Distributions Using Generating Polynomials D. Stroobandt (Ghent University)
SLIP 2000April 9, Wiring Layer Assignments with Consistent Stage Delays Andrew B. Kahng (UCLA) Dirk Stroobandt (Ghent University) Supported.
Cost-Based Tradeoff Analysis of Standard Cell Designs Peng Li Pranab K. Nag Wojciech Maly Electrical and Computer Engineering Carnegie Mellon University.
1 ENTITY test is port a: in bit; end ENTITY test; DRC LVS ERC Circuit Design Functional Design and Logic Design Physical Design Physical Verification and.
VLSI Physical Design: From Graph Partitioning to Timing Closure Paper Presentation © KLMH Lienig 1 EECS 527 Paper Presentation Accurate Estimation of Global.
A Methodology for Interconnect Dimension Determination By: Jeff Cobb Rajesh Garg Sunil P Khatri Department of Electrical and Computer Engineering, Texas.
Modern VLSI Design 4e: Chapter 4 Copyright  2008 Wayne Wolf Topics n Interconnect design. n Crosstalk. n Power optimization.
הטכניון - מ.ט.ל. הפקולטה להנדסת חשמל - אביב תשס"ה
MASSOUD PEDRAM UNIVERSITY OF SOUTHERN CALIFORNIA Interconnect Length Estimation in VLSI Designs: A Retrospective.
Global Routing.
CAD for Physical Design of VLSI Circuits
LOPASS: A Low Power Architectural Synthesis for FPGAs with Interconnect Estimation and Optimization Harikrishnan K.C. University of Massachusetts Amherst.
New Modeling Techniques for the Global Routing Problem Anthony Vannelli Department of Electrical and Computer Engineering University of Waterloo Waterloo,
1 Wire Length Prediction-based Technology Mapping and Fanout Optimization Qinghua Liu Malgorzata Marek-Sadowska VLSI Design Automation Lab UC-Santa Barbara.
Improved Cut Sequences for Partitioning Based Placement Mehmet Can YILDIZ and Patrick H. Madden State University of New York at BinghamtonComputer Science.
Massachusetts Institute of Technology 1 L14 – Physical Design Spring 2007 Ajay Joshi.
Placement. Physical Design Cycle Partitioning Placement/ Floorplanning Placement/ Floorplanning Routing Break the circuit up into smaller segments Place.
"A probabilistic approach to clock cycle prediction" A probabilistic approach to clock cycle prediction J. Dambre, D. Stroobandt and J. Van Campenhout.
Modern VLSI Design 3e: Chapter 4 Copyright  1998, 2002 Prentice Hall PTR Topics n Interconnect design. n Crosstalk. n Power optimization.
Georgia Institute of Technology, Microelectronics Research Center Prediction of Interconnect Fan-out Distribution Using Rent’s Rule Payman Zarkesh-Ha,
Congestion Estimation and Localization in FPGAs: A Visual Tool for Interconnect Prediction David Yeager Darius Chiu Guy Lemieux The University of British.
Test Architecture Design and Optimization for Three- Dimensional SoCs Li Jiang, Lin Huang and Qiang Xu CUhk Reliable Computing Laboratry Department of.
Topics Architecture of FPGA: Logic elements. Interconnect. Pins.
International Workshop on System-Level Interconnection Prediction, Sonoma County, CA March 2001ER UCLA UCLA 1 Wirelength Estimation based on Rent Exponents.
On the Assumption of Normality in Statistical Static Timing Analysis Halim Damerdji, Ali Dasdan, Santanu Kolay February 28, 2005 PrimeTime Synopsys, Inc.
Maze Routing Algorithms with Exact Matching Constraints for Analog and Mixed Signal Designs M. M. Ozdal and R. F. Hentschke Intel Corporation ICCAD 2012.
"Fast estimation of the partitioning Rent characteristic" Fast estimation of the partitioning Rent characteristic using a recursive partitioning model.
Nonlinear differential equation model for quantification of transcriptional regulation applied to microarray data of Saccharomyces cerevisiae Vu, T. T.,
Dirk Stroobandt Ghent University Electronics and Information Systems Department A Priori System-Level Interconnect Prediction Rent’s Rule and Wire Length.
Dirk Stroobandt Ghent University Electronics and Information Systems Department A New Design Methodology Based on System-Level Interconnect Prediction.
RTL Design Flow RTL Synthesis HDL netlist logic optimization netlist Library/ module generators physical design layout manual design a b s q 0 1 d clk.
Prediction of Interconnect Net-Degree Distribution Based on Rent’s Rule Tao Wan and Malgorzata Chrzanowska- Jeske Department of Electrical and Computer.
Slide 1 SLIP 2004 Payman Zarkesh-Ha, Ken Doniger, William Loh, and Peter Bendix LSI Logic Corporation Interconnect Modeling Group February 14, 2004 Prediction.
Defect Tolerance for Nanocomputer Architecture
Topics Logic synthesis. Placement and routing..
Presentation transcript:

Dirk Stroobandt Ghent University Electronics and Information Systems Department Multi-terminal Nets do Change Conventional Wire Length Distribution Models Talk at SLIP 2001 March 31, 2001

Dirk Stroobandt, SLIP Current status of wire length prediction models Multi-terminal net model Wire length prediction for multi-terminal nets Discussion and results Outline

March 31, 2001Dirk Stroobandt, SLIP Current status of wire length prediction models Multi-terminal net model Wire length prediction for multi-terminal nets Discussion and results Outline

March 31, 2001Dirk Stroobandt, SLIP Net Terminal / pin Conventional Wire Length Models Circuit model Placement and routing model Model for the architecture Pad Channel Manhattan grid using Manhattan metric Cell Logic block T = t B p Rent’s rule Only for two-terminal nets!

March 31, 2001Dirk Stroobandt, SLIP Previous Work on Multi-terminal Nets Stroobandt & Kurdahi* Hierarchical model with recursive net degree distributions Depend on Rent exponent and several circuit properties Modelled average net degree is exact Zarkesh-Ha et al.** Closed form expression for net degree distributions Depend on Rent exponent and circuit size only Modelled average net degree is not exact * D. Stroobandt and F.J. Kurdahi. “On the characterization of multi-point nets in electronic designs.” Proc. 8 th Great Lakes Symposium on VLSI, pp , February ** P. Zarkesh-Ha, J.A. Davis, W. Loh and J.D. Meindl. “Stochastic interconnect network fan- out distribution using Rent’s rule.” Proc. IEEE IITC, pp , June ** P. Zarkesh-Ha, J.A. Davis, W. Loh and J.D. Meindl. “Prediction of interconnect fan-out distribution using Rent’s rule.” Proc. SLIP, pp , April 2000.

March 31, 2001Dirk Stroobandt, SLIP Current status of wire length prediction models Multi-terminal net model Wire length prediction for multi-terminal nets Discussion and results Outline

March 31, 2001Dirk Stroobandt, SLIP Multi-terminal Nets: Stroobandt’s Model Number of new terminals T k in the cut calculated from Rent’s rule Relation new terminals – nets cut: Introduction of new parameter  Cut at level k Terminal at both levels New terminal at level k Module at level k Module at level k +1 Internal net (two new terminals; number of them = S i,k ) External net (one new terminal; number of them = S e,k ) Model based on hierarchical partitioning Pseudoconnection (no new terminals)

March 31, 2001Dirk Stroobandt, SLIP Assume: internal and external net degree distributions known at level k: W n (k) (normalized). Recursive equations are found: Cut at level k Terminal at both levels New terminal at level k Module at level k Module at level k +1 Internal net (two new terminals) External net (one new terminal) Multi-terminal Net Degree Distribution Pseudoconnection (no new terminals)

March 31, 2001Dirk Stroobandt, SLIP Numerical Evaluation and Power Law Approximation Resulting net degree distribution converges toward power law for large designs Analytical power law approximation based on value for 2- and 3-terminal nets. Net degree distribution depends on two parameters: 1)Rent exponent p 2)New parameter  and increases with increasing p and also with increasing  # Internal nets (normalized) Net degree theory, k=5 theory, k=10 theory, k=15 theory, k=20 theory, k=25 theory, k=30 approximation

March 31, 2001Dirk Stroobandt, SLIP Average net degrees for external and internal nets at each hierarchical level equal 2 if  =1/2 Average net degrees for all nets at each hierarchical level equal 2 if  =1/2 Average net degree in entire circuit exactly equals number of terminals over number of nets Both the internal and overall net degree are independent of the Rent exponent p for very large circuits. Average Net Degree

March 31, 2001Dirk Stroobandt, SLIP Multi-terminal nets: Zarkesh-Ha’s model No. of terminals for internal connections (per gate): Number of terminals shared through an i-point net: Average value does not correspond to actual value Model based on (recursive) terminal conservation 2 problems Overestimating T int

March 31, 2001Dirk Stroobandt, SLIP Experimental Validation Theoretical and measured distribution fit well for Stroobandt’s model. Zarkesh-Ha’s power law function deviates a lot for small net degrees. Scaled version nears Stroobandt’s power law approximation. No good fit for large net degrees but such nets are rare and there are a lot of net degrees that do not occur. ISCAS89 benchmark s953Benchmark industry Net degree Number of nets Measurement Average Stroobandt Zarkesh-Ha Zarkesh-Ha (scaled) Net degree Number of nets Measurement Average Stroobandt Zarkesh-Ha Zarkesh-Ha (scaled)

March 31, 2001Dirk Stroobandt, SLIP Experimental Validation Zoming in on small net degrees… ISCAS89 benchmark s953Benchmark industry Average Stroobandt Zarkesh-Ha Zarkesh-Ha (scaled) Measurement Measurement Average Stroobandt Zarkesh-Ha Zarkesh-Ha (scaled)

March 31, 2001Dirk Stroobandt, SLIP Current status of wire length prediction models Multi-terminal net model Wire length prediction for multi-terminal nets Discussion and results Outline

March 31, 2001Dirk Stroobandt, SLIP Partition the circuit into 4 modules of equal size such that Rent’s rule applies (minimal number of pins). 2. Partition the Manhattan grid in 4 subgrids of equal size in a symmetrical way. Donath’s* Hierarchical Placement Model * W. E. Donath. Placement and Average Interconnection Lengths of Computer Logic. IEEE Trans. on Circuits & Syst., vol. CAS-26, pp , 1979.

March 31, 2001Dirk Stroobandt, SLIP Each subcircuit (module) is mapped to a subgrid. 4. Repeat recursively until all logic blocks are assigned to exactly one grid cell in the Manhattan grid. Donath’s Hierarchical Placement Model mapping

March 31, 2001Dirk Stroobandt, SLIP Length Estimation Model Donath’s assumption of uniformly distributed connections......or using the occupation probability* as a placement optimization model favouring shorter interconnections Adjacent ( A -) combination Diagonal ( D -) combination * D. Stroobandt and J. Van Campenhout. Accurate Interconnection Length Estimations for Pre- dictions Early in the Design Cycle. VLSI Design, Spec. Iss. on PD in DSM, 10 (1): 1-20, 1999.

March 31, 2001Dirk Stroobandt, SLIP Different Applications for Multi-terminal Net Models Delay-related applications - delays - power due to interconnect Routing-related applications - prediction of number of wiring layers - prediction of routing area needed - prediction of routing channel densities Source-sink lengthsSteiner lengths

March 31, 2001Dirk Stroobandt, SLIP Number of multi-terminal net connections at each hierarchical level Difference between delay- related and routing-related applications: - Source-sink pairs Assume A is source A-B at level k A-C and A-D at level k+1 Count as three connections - Entire Steiner tree lengths Segments A-B, C-D and E-F A-B and C-D at level k E-F at level k+1 Add lengths to one net length A B C D F E Level k +1 Level k Net terminal Steiner point Assumption: multi-terminal nets are split over only two partitions at every hierarchical level

March 31, 2001Dirk Stroobandt, SLIP Current status of wire length prediction models Multi-terminal net model Wire length prediction for multi-terminal nets Discussion and results Outline

March 31, 2001Dirk Stroobandt, SLIP Resulting Wire Length Distributions Source-sink pair lengthsSteiner tree lengths `Ideal' behaviour for point-to-point nets 1e-07 1e-06 1e F r a c t i o n o f w i r e s Interconnection length Stroobandt Source-sink pairs 1e-07 1e-06 1e F r a c t i o n o f w i r e s Steiner length distribution Stroobandt Interconnection length Source-sink length distribution

March 31, 2001Dirk Stroobandt, SLIP Scaling behaviour of average wire length Net segment length (previous model) Source-sink length (new model) Steiner tree length (new model) Resulting Wire Length Distributions A v e r a g e l e n g t h Two-terminal nets only Circuit size  =0.1  =0.2  =0.3  =0.4  =0.5

March 31, 2001Dirk Stroobandt, SLIP Experimental Verification Steiner tree lengths More accurate Steiner length estimates SA-based placement Steiner lengths measured by Geosteiner  New model better fits measured data (average lengths within 25%)

March 31, 2001Dirk Stroobandt, SLIP Experimental Verification Source-sink pair lengths are generally underestimated Steiner tree lengths are really close to measured ones A v e r a g e l e n g t h Rent exponent Measured source-sink length distribution Stroobandt's length distribution New source-sink length distribution

March 31, 2001Dirk Stroobandt, SLIP Conventional wire length estimation models do not properly take multi-terminal nets into account. Fundamental difference between internal and external multi-terminal nets in a hierarchical placement model. Leads to multi-terminal net degree distribution model. Length distribution for multi-terminal nets found for delay-related and routing-related applications. Source-sink distributions are close to old net segment distributions but have a different scaling behaviour. Steiner length estimates are much more accurate than before. Conclusions