Presentation is loading. Please wait.

Presentation is loading. Please wait.

1 Designing with MSI Documentation Standards  Block diagrams first step in hierarchical design  Schematic diagrams  Timing diagrams  Circuit descriptions.

Similar presentations


Presentation on theme: "1 Designing with MSI Documentation Standards  Block diagrams first step in hierarchical design  Schematic diagrams  Timing diagrams  Circuit descriptions."— Presentation transcript:

1 1 Designing with MSI Documentation Standards  Block diagrams first step in hierarchical design  Schematic diagrams  Timing diagrams  Circuit descriptions

2 2 Designing with MSI Documentation standard Block Diagram

3 3 Designing with MSI Schematic diagrams  Details of component inputs, outputs, and interconnections  Reference designators  Title blocks  Names for all signals  Page-to-page connectors

4 4 Designing with MSI Input keys Digit1Digit0 8 8 Select one of Mux Display D1D0 8 8 Error_Key1 Error_Key0 (warmer + cooler)From BCD calculator To BCD calculator To Error Display Wake Period Block Diagram For Wake

5 5 Designing with MSI Chapter : Design modules

6 6 Designing with MSI I. Using MUX to Implement logic function  A multiplex (Data selector) is a CLN module with: – 2 n data inputs –n control inputs –1 output Depending on the control inputs, the multiplexer connects one of the inputs to the output line.  Block diagram of an 4-to-1 multiplexer: D0 D1 D2 D3 C1 C0 I0 I1 I2 I3 Y 4-to-1 MUX Data inputs Data select Y’

7 7 Designing with MSI I. Using MUX to Implement logic function Circuit of an 4-to-1 multiplexer D0 D1 D2 D3 C1C0 C1’C0’D0 C1’C0D1 C1C0’D2 C1C0D3 Y Y’ OR May add an enable signal E

8 8 Designing with MSI I. Using MUX to Implement logic function Block diagram of an 8-to-1 multiplexer: D0 D1 D2 D3 D4 D5 D6 D7 C2 C1 C0 I0 I1 I2 I3 I4 I5 I6 I7 Output 8-to-1 MUX Data inputs Data select

9 9 Designing with MSI I. Using MUX to Implement logic function Circuit of an 8-to-1 mulmtiplexer

10 10 Designing with MSI I. Using MUX to Implement logic function Truth table of an 8-to-1 mulmtiplexer

11 11 Designing with MSI I. Using MUX to Implement logic function A 2 n input lines and n selection lines MUX may be used to realize any function of (n+1) variables Example of design Example Use an 8-to-1 MUX to realize the following function of 4 variables F( A,B,C,D) =  (0,2,4,5,6,8,10,13) = A’B’C’D’ + A’B’CD’ + A’BC’D’ + A’BC’D + A’BCD’ + AB’C’D’ + AB’CD’ + ABC’D Solution Use the variables A, B, C as the control (selection) inputs and use the remaining variable D to determine the input lines. Rewrite F to to determine a factor for each input combination ABC: F( A,B,C,D) = A’B’C’D’ + A’B’CD’ + A’BC’(D’ + D) + A’BCD’ + AB’C’D’ + AB’CD’ + ABC’D + ABC (0)

12 12 Designing with MSI I. Using 8-to-1 MUX to Implement logic function Example Solution (Continued ….) F( A,B,C,D) = A’B’C’D’ + A’B’CD’ + A’BC’(D’ + D) + A’BCD’ + AB’C’D’ + AB’CD’ + ABC’D + ABC (0) So the input to the 8-to-1 MUX are given by : I0=D’, I1=D’, I2=1, I3=D’, I4=D’, I5=D’, I6=D, I7=0 1 D0 D1 D2 D3 D4 D5 D6 D7 C2 C1 C0 D’ 1 D’ D 0 F(A,B,C,D) 8-to-1 MUX A B C

13 13 Designing with MSI I. Using 8-to-1 MUX to Implement logic function Same function F(A,B,C,D) Use BCD as the selection (control ) lines F( A,B,C,D) =  (0,2,4,5,6,8,10,13) = A’B’C’D’ + A’B’CD’ + A’BC’D’ + A’BC’D + A’BCD’ + AB’C’D’ + AB’CD’ + ABC’D = B’C’D’ ( ) + B’C’D ( ) + B’CD’ ( ) + B’CD ( ) + BC’D’ ( ) + BC’D ( ) + BCD’ ( ) + BCD ( ) = B’C’D’ ( A+A’ ) + B’C’D ( 0 ) + B’CD’ (A’ + A) + B’CD ( 0 ) + BC’D’ ( A’) + BC’D ( A’+A ) + BCD’ ( A’ ) + BCD ( 0 ) 1 1 1 Example

14 14 Designing with MSI I. Using 8-to-1 MUX to Implement logic function D0 D1 D2 D3 D4 D5 D6 D7 C2 C1 C0 1 0 1 0 A’ 1 A’ 0 F(A,B,C,D) 8-to-1 MUX B C D Exercise Repeat using as selection lines: A, C, D A, B, D Example

15 15 Designing with MSI I. Using 4-to-1 MUX to Implement logic function F(A,B,C,D) =  (3,4,8,9,10,13,14,15) = A’B’CD + A’BC’D’ + AB’C’D’ + AB’C’D + AB’CD’ + ABC’D + ABCD’ + ABCD Use AB for Selection lines and factor out the various combinations of AB = A’B’ ( CD ) + A’B ( C’D’ ) + AB’( C’D’ + C’D + CD’ ) + AB ( CD’ + CD’ + CD ) = A’B’ ( CD ) + A’B ( C’D’ ) + AB’( C’ + D’ ) + AB ( C + D ) Implement the circuit of the input lines using NAND (or other) gates Example

16 16 Designing with MSI I. Using 4-to-1 MUX to Implement logic function D0 D1 D2 D3 C1 C0 F 4-to-1 MUX A B F’ CDCD C’ D’ Example

17 17 Designing with MSI II. Decoders  Depending on the control inputs, the multiplexer connects one of the inputs to the output line.  Block diagram of an 4-to-1 multiplexer:

18 18 Designing with MSI II. Decoders  General decoder structure  Map each input code to one of the output  Typically n inputs, 2 n outputs –2-to-4, 3-to-8, 4-to-16, etc.

19 19 Designing with MSI II. Decoders Note “x” (don’t care) notation. Binary 2-to-4 decoder

20 20 Designing with MSI II. Decoders 00 01 10 11 2-to-4-decoder logic diagram

21 21 Designing with MSI II. Decoders  Input buffering (less load)  NAND gates (faster) 00 01 10 11 MSI 2-to-4 decoder

22 22 Designing with MSI II. Decoders Decoder Symbol

23 23 Designing with MSI II. Decoders Complete 74x139 Decoder

24 24 Designing with MSI II. Decoders More decoder symbols

25 25 Designing with MSI II. Decoders 000 001 010 011 100 101 110 111 3-to-8 Decoder

26 26 Designing with MSI II. Decoders 74x138 3-to-8-decoder symbol

27 27 Designing with MSI I. Using decoders to Implement logic function 0123456701234567 Example: Given F1 =  X,Y,Z (1,2,3) and F2 =  X,Y,Z (3,5,6,7) Implementation using 3-to-8 Decoder XYZXYZ OR F1 OR F2

28 28 Designing with MSI I. Using decoders to Implement logic function 0123456701234567 Example: Given F =  X,Y,Z (0,2,3,4,6,7) Implementation using 3-to-8 Decoder We will implement the complement of F and “NOT” the result F’ = m1 + m5 XYZXYZ OR F’ F

29 29 Designing with MSI III. Programmable Logic devices  Any combinational logic function can be realized as a sum of products.  Idea: Build a large AND-OR array with lots of inputs and product terms, and programmable connections. –n inputs  AND gates have 2n inputs -- true and complement of each variable. –m outputs, driven by large OR gates  Each AND gate is programmably connected to each output’s OR gate. –p AND gates (p<<2 n ) Programmable Logic Arrays (PLAs)

30 30 Designing with MSI Example: 4x3 PLA, 6 product terms III. Programmable Logic devices

31 31 Designing with MSI Compact representation III. Programmable Logic devices Input programming Output programming

32 32 Designing with MSI Some product terms III. Programmable Logic devices

33 33 Designing with MSI General description IV. Demultiplexer  1-to-2 n Demultiplexer has: – 1- input –Multiple outputs –n select lines  Function: Route the single input to the selected output I 1-to-2 n DEMUX Data input Select lines …... O0O1OmO0O1Om m = 2 n - 1

34 34 Designing with MSI Function table of a 1-to-4 Demux IV. Demultiplexer I 1-to-4 DEMUX Data input Select lines O0O1O2O3O0O1O2O3 x y 0 O 0 O 1 O 2 O 3 I 0 0 0 0 10 I 0 0 1 00 0 I 0 1 0 0 0 I O1 = x‘y’I O2 = x’y I O3 = x y’I O4 = x y I

35 35 Designing with MSI V.Design by Cascading Cascading MUXes Design an 8-to-1 MUX using 4-to-1 MUX and other gates D0 D1 D2 D3 D4 D5 D6 D7 C2 C1 C0 I0 I1 I2 I3 I4 I5 I6 I7 Output 8-to-1 MUX Data inputs Data select En

36 36 Designing with MSI V.Design by Cascading Cascading MUXes Design an 8-to-1 MUX using 4-to-1 MUX and other gates D0 D1 D2 D3 C1 C0 I0 I1 I2 I3 Output 4-to-1 MUX En D0 D1 D2 D3 C1 C0 I0 I1 I2 I3 4-to-1 MUX En 0 1 C2 C1 C0 I4 I5 I6 I7 OR

37 37 Designing with MSI V. Design by cascading Decoders Note “x” (don’t care) notation. Cascading Decoders Recall: Decoder with an enable signal En

38 38 Designing with MSI V. Design by cascading Decoders Cascading Decoders Recall: Decoder with an enable signal En Decoder 2-to-4 d0 d1 d2 d3 i0 i1 En 1-to-2 d0 d1 i0 En En i0d0 d1 0 X 1 0 1 0 1 0 0 1 En i0 i1 d0 d1 d2 d3 0 X X 1 0 0 1 0 1 1 1 0 1 1 1 0 0 1 0 0 0 0 1 0 0 0 0 1 0 0 0 i0 En d0 d1

39 39 Designing with MSI V. Design by cascading Decoders Cascading Decoders Design of a 2-to-4 decoder using 1-to-2 decoders Decoder 2-to-4 d0 d1 d2 d3 i0 i1 En 1-to-2 d0’ d1’ i0’ En’ 1-to-2 d0’ d1’ i0’ En’ En i1 i0 d0 d1 d2 d3 2-to-4 Decoder

40 40 Designing with MSI V. Design by cascading Decoders Cascading Decoders Design of a 2-to-4 decoder using 1-to-2 decoders 1-to-2 d0’ d1’ i0’ En’ 1-to-2 d0’ d1’ i0’ En’ En i1 i0 d0 d1 d2 d3 2-to-4 Decoder Operation En enable or disable the decoder i1=0 enables the top decoder I1=1 enables the lower decoder

41 41 Designing with MSI V. Design by cascading Decoders Cascading Decoders Design of a 4-to-16 decoder using 2-to-4 decoders 2-to-4 d0 d1 d2 d3 En i1 i0 2-to-4 d0 d1 d2 d3 En i1 i0 2-to-4 d0 d1 d2 d3 En i1 i0 2-to-4 d0 d1 d2 d3 En i1 i0 2-to-4 d0 d1 d2 d3 En i1 i0 En i3 i2 i1 i0 d0 d1 d2 d3d4 d5 d6 d7d8 d9 d10 d11d12 d13 d14 d15

42 42 Designing with MSI VI.Modular Design  Goal –Use existing components or design subcomponents as building blocks of higher circuit  Types of solutions –Casdading components –Ripple design  Some outpout at level i are used input at the next level (i+1)  Linear cascading of elements

43 43 Designing with MSI VI.Modular Design Design of a 2 bits binary adder Motivations Binary adder X Y S S = X + YX=[X1X0], Y=[Y1Y0], S=[S2S1S0] Two types of design possible: Brute force approach: Draw a truth table and derive the expressions of the output variable Iterative design

44 44 Designing with MSI VI.Modular Design Example: 2 bits binary adder X1 X0 Y1 Y0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 0 0 0 0 0 1 0 1 0 0 1 1 0 0 1 0 1 0 0 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 0 1 1 1 0 0 1 0 1 1 1 0 S0 S1 S0 What if we want to do design a 5 bits adder: -Truth table with 10 variables -Not pratical

45 45 Designing with MSI VI.Modular Design Iterative design Identify a basic component: 1-bit adder with carry in Use the basic component iteratively Basic component 1-bit Full adder Xi Yi Ci Si Ci-1 Design of Basic component Draw its truth table Design the corresponding circuit Iterative design of n-bit adder Xn-1Yn-1 Cn-2 Sn-1 Cn-1 Xn-2 Yn-2 Cn-3 Sn-2 C0 X0 Y0 C-1 S0 … 1-bit Full adder 1-bit Full adder 1-bit Full adder

46 46 Designing with MSI VI.Modular Design Design an n-bit comparator to produce the following output F: F=1 if X > Y F= 0 otherwise X, Y are n-bit binaries Another Example of Modular Design Basic component C1 A 1-bit comparator with comparaison result from preceeding stage 3 inputs Xi and Yi bit at stage i, and Fi-1 Result from stage i-1 1 output: Fi result of stage I Iterative comparaison of two n-bits X=[Xn-1 …X0] and Y=[Yn-1 …Y0] Xn-1 Yn-1 Fn-1 Xn-2 Yn-2 X1 Y1 Fn-2 X0 Y0 F1F0Fn-30 Cn-1Cn-2C1C0

47 47 Designing with MSI VI.Modular Design Design of the Basic 1-bit Comparator Ci Another Example of Modular Design Xi Yi FiFi-1 Ci Fi-1 Xi Yi 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 0 0 1 0 1 0 1 1 Fi Truth Table XiYi Fi-1 0 1 00011110 1 1 1 1 Fi = XiFi-1 + XiYi’ + Yi’Fi-1 Xi Yi Fi-1 0 1 4-to-1 MUX Fi K-map MUX implementation Simplified SOP Logic Expression


Download ppt "1 Designing with MSI Documentation Standards  Block diagrams first step in hierarchical design  Schematic diagrams  Timing diagrams  Circuit descriptions."

Similar presentations


Ads by Google