Raw Status Update Chips & Fabrics James Psota M.I.T. Computer Architecture Workshop 9/19/03.

Slides:



Advertisements
Similar presentations
XFEL Meeting, RAL 20 January 2011 Erdem Motuk, Martin Postranecky, Matt Warren, Matthew Wing XFEL 2D Pixel Clock and Control System.
Advertisements

Controller Tests Stephen Kaye Controller Test Motivation Testing the controller before the next generation helps to shake out any remaining.
HARDWARE Rashedul Hasan..
The Raw Architecture Signal Processing on a Scalable Composable Computation Fabric David Wentzlaff, Michael Taylor, Jason Kim, Jason Miller, Fae Ghodrat,
Microprocessor 8085/8086 Lecturer M A Rahim Khan Computer Engineering and Networks Deptt.
ESODAC Study for a new ESO Detector Array Controller.
Spartan II Features  Plentiful logic and memory resources –15K to 200K system gates (up to 5,292 logic cells) –Up to 57 Kb block RAM storage  Flexible.
The Raw Processor: A Scalable 32 bit Fabric for General Purpose and Embedded Computing Presented at Hotchips 13 On August 21, 2001 by Michael Bedford Taylor.
Linux Clustering A way to supercomputing. What is Cluster? A group of individual computers bundled together using hardware and software in order to make.
June 2007 RAMP Tutorial BEE3 Update Chuck Thacker John Davis Microsoft Research 10 June, 2007.
Handheld TFTP Server with USB Andrew Pangborn Michael Nusinov RIT Computer Engineering – CE Design 03/20/2008.
University College Cork IRELAND Hardware Concepts An understanding of computer hardware is a vital prerequisite for the study of operating systems.
Programmable logic and FPGA
CSS 372 Oct 2 nd - Lecture 2 Review of CSS 371: Simple Computer Architecture Chapter 3 – Connecting Computer Components with Buses Typical Bus Structure.
The System Unit Chapter 5.
Intel ® Research mote Ralph Kling Intel Corporation Research Santa Clara, CA.
Kabuki 2800 “a real-time digital audio effects system for performance” team “Big Country” presents ECEN4610 Preliminary Design Review 14 September 2006.
Interconnection and Packaging in IBM Blue Gene/L Yi Zhu Feb 12, 2007.
1 Design of the Front End Readout Board for TORCH Detector 10, June 2010.
Using FPGAs with Embedded Processors for Complete Hardware and Software Systems Jonah Weber May 2, 2006.
Introduction to the Raw Handheld Board Jason Miller, David Wentzlaff, Nathan Shnidman.
Team Members Jordan Bennett Kyle Schultz Min Jae Lee Kevin Yeh.
Michael Cooper and Troy Davis. Form Factors  The form factor of motherboards pertains to the size and shape of the board. It also describes the physical.
Control Activity & Industry Services
A Flexible Architecture for Simulation and Testing (FAST) Multiprocessor Systems John D. Davis, Lance Hammond, Kunle Olukotun Computer Systems Lab Stanford.
Evaluating the Raw microprocessor Michael Bedford Taylor Raw Architecture Group Computer Science and AI Laboratory Massachusetts Institute of Technology.
The 6713 DSP Starter Kit (DSK) is a low-cost platform which lets customers evaluate and develop applications for the Texas Instruments C67X DSP family.
Department of Electronic & Electrical Engineering Embedded system Aims: Introduction to: Hardware. Software Ideas for projects ? Robotics/Control/Sensors.
 Chasis / System cabinet  A plastic enclosure that contains most of the components of a computer (usually excluding the display, keyboard and mouse)
Mother Board MOTHER BOARD Holds CPU, memory, PCI bays, etc.
RSC Williams MAPLD 2005/BOF-S1 A Linux-based Software Environment for the Reconfigurable Scalable Computing Project John A. Williams 1
© Copyright Xilinx 2004 All Rights Reserved 9 November, 2004 XUP Virtex-II Pro Development System.
NetBurner MOD 5282 Network Development Kit MCF 5282 Integrated ColdFire 32 bit Microcontoller 2 DB-9 connectors for serial I/O supports: RS-232, RS-485,
Objectives How Microcontroller works
Embedded Sales Meeting COM Express Carrier. COM Express Carrier Card What is it? –Two PMC slot or two XMC slot on the top side of the board and one COM.
COE4OI5 Engineering Design Chapter 2: UP2/UP3 board.
APS BPM and power supply applications on micro-IOCs W. Eric Norum
LOGO BUS SYSTEM Members: Bui Thi Diep Nguyen Thi Ngoc Mai Vu Thi Thuy Class: 1c06.
Chapter 3 By James Hanson June 2002 DRAM Dynamic-RAM Needs to be refreshed every few milliseconds 1 Transistor/ 1 Capacitor.
Organization of a computer: The motherboard and its components.
Exercise 2 The Motherboard
FPGA IRRADIATION and TESTING PLANS (Update) Ray Mountain, Marina Artuso, Bin Gui Syracuse University OUTLINE: 1.Core 2.Peripheral 3.Testing Procedures.
SLAAC SV2 Briefing SLAAC Retreat, May 2001 Heber, UT Brian Schott USC Information Sciences Institute.
PRESENTATION ON MOTHERBOARD. MOTHERBOARD The motherboard is the main circuit board inside your PC. A motherboard is the central printed circuit board.
F1031 COMPUTER HARDWARE BASIC COMPUTER PERIPHERALS.
K.C.RAVINDRAN,GRAPES-3 EXPERIMENT,OOTY 1 Development of fast electronics for the GRAPES-3 experiment at Ooty K.C. RAVINDRAN On Behalf of GRAPES-3 Collaboration.
Architecture and Features
Lecture 10: Logic Emulation October 8, 2013 ECE 636 Reconfigurable Computing Lecture 13 Logic Emulation.
I/O Computer Organization II 1 Interconnecting Components Need interconnections between – CPU, memory, I/O controllers Bus: shared communication channel.
Hardware proposal for the L2  trigger system detailed description of the architecture mechanical considerations components consideration electro-magnetic.
Leo Greiner PIXEL Hardware meeting HFT PIXEL detector LVDS Data Path Testing.
Fabric System Architecture Design: two distinct board designs; replicate and connect –modularity allows us to build any configuration of size 2 n Board.
XStream: Rapid Generation of Custom Processors for ASIC Designs Binu Mathew * ASIC: Application Specific Integrated Circuit.
Assembling & Disassembling of CPU. Mother Board Components.
Somervill RSC 1 125/MAPLD'05 Reconfigurable Processing Module (RPM) Kevin Somervill 1 Dr. Robert Hodson 1
® Virtex-E Extended Memory Technical Overview and Applications.
High-Bandwidth Packet Switching on the Raw General-Purpose Architecture Gleb Chuvpilo Saman Amarasinghe MIT LCS Computer Architecture Group January 9,
Exploiting Task-level Concurrency in a Programmable Network Interface June 11, 2003 Hyong-youb Kim, Vijay S. Pai, and Scott Rixner Rice Computer Architecture.
Memory Interface EEE 365 [FALL 2014] LECTURER 12 ATANU K SAHA BRAC UNIVERSITY.
Eric Hazen1 Ethernet Readout With: E. Kearns, J. Raaf, S.X. Wu, others... Eric Hazen Boston University.
Cmod A7 Breadboardable Artix-7 FPGA Module
IAPP - FTK workshop – Pisa march, 2013
Operating Systems (CS 340 D)
Sheng-Li Liu, James Pinfold. University of Alberta
ECE 3551 Microcomputer Systems 1
Computer Basics.
Chapter5.
Command and Data Handling
Programmable logic and FPGA
Presentation transcript:

Raw Status Update Chips & Fabrics James Psota M.I.T. Computer Architecture Workshop 9/19/03

Psota | CAW ‘032 Talk Outline 1. Raw Hardware Update –Recent progress –Specs –Plans 2. Raw Fabric System –Design Goals –Architecture Overview –Design Challenges

Psota | CAW ‘033 Raw Chips!

Psota | CAW ‘034 Raw “Handheld” Board First program, 80MHz (January 2003) “Thorough testing,” 300MHz (May 2003) Currently 2 handheld boards, 10 more on the way

Psota | CAW ‘035 Raw Chip Specifications IBM SA27E Process –0.15 , 6-metal copper ASIC process 16 Tile RAW Processor –18.23mm x 18.23mm –1657 pin CCGA package –1152 signal pins Clock and Power –420MHz (actual) –10 watts (power save mode) –18 watts typical –35 watts max

Psota | CAW ‘036 Performance Overview Raw Chip (at 420MHz) – 7 GOPS/GFLOPS (single precision) – 100 GB/s of on-chip memory bandwidth – 90 GB/s of on-chip “bisection bandwidth” – 40 GB/s I/O bandwidth No bugs so far!

Psota | CAW ‘037 Current Work and Plans -Continuing evaluation and experimentation -Building embedded applications: -Embedded networking board -Audio beamformer system -Chroma keying system b,g,a wireless system -Virtual x86 emulation layer -Incorporating higher bandwidth IO interfaces (PCI, USB2) -Will help us realize RAW’s potential

Psota | CAW ‘038 Raw Fabric System 1024 tile processors –64 Raw chips –0.5 teraflops total bit PCI slots USB2 and 4GB SDRAM “Year 2010 Prototype” System (Oxygen)

Psota | CAW ‘039 Fabric System Architecture Design: two distinct board types Board 1: Quad Raw Board Board 2: I/O & Memory Board Replicate and connect HOW???

Psota | CAW ‘0310 The Challenge How do we use the same board designs for every position in the fabric? CPU board is easy enough.

Psota | CAW ‘0311 The Challenge How do we use the same board designs for every position in the fabric? E.g., I/O board

Psota | CAW ‘0312 The Saman Flip How do we use the same IO board design for every position in the fabric? Make symmetric about x-axis Compensate for board flip in firmware BEFORE X AFTER

Psota | CAW ‘0313 Quad Board 4 RAW chips per board pin MICTOR connectors total (4 per side) Power distributed over separate cables from other signals MICTOR connectors are stacked to save space

Psota | CAW ‘0314 Quad Board Layout 11”

Psota | CAW ‘0315 I/O & Memory Board 4 FPGAs 2 64-bit PCI slots 2 Expansion Ports 4 SDRAM banks 11”

Psota | CAW ‘0316 Clock Distribution signal generated and distributed from a center board over MICTOR connectors uses DLLs to deskew the clock at each connection every quad board sends and receives a copy of the clock to its neighbors and we can select which of the input clocks to use using dip switches clock generator

Psota | CAW ‘0317 Clock Distribution from external input DLL Synchronized clocks for all Raw chips in fabric Delay-Locked Loop uses feedback to tune delay line for clock synchronization Dip switches keep clock dist. general  no custom firmware

Psota | CAW ‘0318 Power Distribution distributed separately from signals external power supply feeds top and bottom rows of I/O Boards power supply

Psota | CAW ‘0319 Power Distribution 48V distributed to all boards, then down-converted locally DC-DC converters on each board –1.8V Raw core –1.5V Raw I/O –3V other logic –1.5V is also further down converted to 0.75V supply for HSTL termination System-wide power supply can be up to 3kW At 1.8V, 64 Raw chips can draw 1280 amps!!!

Psota | CAW ‘0320 Reset Distribution signal generated by one of the I/O boards and distributed over MICTOR connectors reset originates here

Psota | CAW ‘0321 Fabric Schedule Quad Board routing has begun IO Board layout completed by late September Both boards fabbed and assembled by November

Raw Status Update Chips & Fabrics James Psota M.I.T. Computer Architecture Workshop 9/19/03