Apr. 3, 2000Systems Architecture I1 Introduction to VHDL (CS 570) Jeremy R. Johnson Wed. Nov. 8, 2000.

Slides:



Advertisements
Similar presentations
Chapter 2 Logic Circuits.
Advertisements

Digital Design with VHDL Presented by: Amir Masoud Gharehbaghi
1 Lecture 13 VHDL 3/16/09. 2 VHDL VHDL is a hardware description language. The behavior of a digital system can be described (specified) by writing a.
Mridula Allani Fall 2010 (Refer to the comments if required) ELEC Fall 2010, Nov 21(Adopted from Profs. Nelson and Stroud)
Introduction to VHDL VHDL Tutorial R. E. Haskell and D. M. Hanna T1: Combinational Logic Circuits.
02/02/20091 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
Week 6.1Spring :332:331 Computer Architecture and Assembly Language Spring 2006 Week 6 VHDL Programming [Adapted from Dave Patterson’s UCB CS152.
Introduction to VHDL Multiplexers. Introduction to VHDL VHDL is an acronym for VHSIC (Very High Speed Integrated Circuit) Hardware Description Language.
Introduction to VHDL CSCE 496/896: Embedded Systems Witawas Srisa-an.
VHDL Intro What does VHDL stand for? VHSIC Hardware Description Language VHSIC = Very High Speed Integrated Circuit Developed in 1982 by Govt. to standardize.
1/31/20081 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
HDL-Based Digital Design Part I: Introduction to VHDL (I) Dr. Yingtao Jiang Department Electrical and Computer Engineering University of Nevada Las Vegas.
331 W05.1Spring :332:331 Computer Architecture and Assembly Language Spring 2006 Week 5: VHDL Programming [Adapted from Dave Patterson’s UCB CS152.
VHDL. What is VHDL? VHDL: VHSIC Hardware Description Language  VHSIC: Very High Speed Integrated Circuit 7/2/ R.H.Khade.
Propositional Calculus Math Foundations of Computer Science.
GOOD MORNING.
1 H ardware D escription L anguages Basic Language Concepts.
ECE 332 Digital Electronics and Logic Design Lab Lab 5 VHDL Design Styles Testbenches.
Systems Architecture I1 Propositional Calculus Objective: To provide students with the concepts and techniques from propositional calculus so that they.
+ CS 325: CS Hardware and Software Organization and Architecture Combinational Circuits 1.
1 Part I: VHDL CODING. 2 Design StructureData TypesOperators and AttributesConcurrent DesignSequential DesignSignals and VariablesState Machines A VHDL.
SUPLEMENTARY CHAPTER 1: An Introduction to Digital Logic The Architecture of Computer Hardware and Systems Software: An Information Technology Approach.
VHDL TUTORIAL Preetha Thulasiraman ECE 223 Winter 2007.
1 Combinational Logic Lecture #6. 모바일컴퓨터특강 2 강의순서 Decoder 3x8 Mux 4x1 Mux 8x1 Mux 8x1 4bits Half Adder Full Adder Ripple carry Adder 4-bit Adder.
Apr. 3, 2000Systems Architecture I1 Systems Architecture I (CS ) Lecture 3: Review of Digital Circuits and Logic Design Jeremy R. Johnson Mon. Apr.
VHDL Introduction. V- VHSIC Very High Speed Integrated Circuit H- Hardware D- Description L- Language.
ENG241 Digital Design Week #4 Combinational Logic Design.
ASIC 121: Practical VHDL Digital Design for FPGAs Tutorial 1 September 27, 2006.
IAY 0600 Digital Systems Design VHDL discussion Dataflow&Behavioral Styles Combinational Design Alexander Sudnitson Tallinn University of Technology.
CWRU EECS 317 EECS 317 Computer Design LECTURE 1: The VHDL Adder Instructor: Francis G. Wolff Case Western Reserve University.
מבנה מחשב תרגול 2. 2 Boolean AND Operation Truth Table Equivalent Gate Different notations:
Digital Logic. 2 Abstractions in CS (gates) Basic Gate: Inverter IO IO GNDI O Vcc Resister (limits conductivity) Truth Table.
Logic Design CS 270: Mathematical Foundations of Computer Science Jeremy Johnson.
Introduction to VHDL Spring EENG 2920 Digital Systems Design Introduction VHDL – VHSIC (Very high speed integrated circuit) Hardware Description.
Propositional Calculus CS 270: Mathematical Foundations of Computer Science Jeremy Johnson.
Fall 2004EE 3563 Digital Systems Design EE 3563 VHSIC Hardware Description Language  Required Reading: –These Slides –VHDL Tutorial  Very High Speed.
ECE 331 – Digital System Design Multiplexers and Demultiplexers (Lecture #13)
(1) Basic Language Concepts © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.
IAY 0600 Digital Systems Design VHDL discussion Dataflow Style Combinational Design Alexander Sudnitson Tallinn University of Technology.
9/9/2006DSD,USIT,GGSIPU1 Concurrent vs Sequential Combinational vs Sequential logic –Combinational logic is that in which the output of the circuit depends.
May 9, 2001Systems Architecture I1 Systems Architecture I (CS ) Lab 5: Introduction to VHDL Jeremy R. Johnson May 9, 2001.
Chapter 3 Digital Logic Structures
Explain Half Adder and Full Adder with Truth Table.
Combinational logic circuit
CS 270: Mathematical Foundations of Computer Science
Basic Language Concepts
Systems Architecture Lab: Introduction to VHDL
Subject Name: FUNDAMENTALS OF HDL Subject Code: 10EC45
Behavioral Style Combinational Design with VHDL
IAY 0600 Digital Systems Design
Dataflow Style Combinational Design with VHDL
Behavioral Style Combinational Design with VHDL
ENG6530 Reconfigurable Computing Systems
Jeremy R. Johnson Wed. Sept. 29, 1999
IAS 0600 Digital Systems Design
UNIT 2: Data Flow description
Jeremy R. Johnson Anatole D. Ruslanov William M. Mongan
ECE 434 Advanced Digital System L08
Propositional Calculus: Boolean Algebra and Simplification
VHDL VHSIC Hardware Description Language VHSIC
IAS 0600 Digital Systems Design
Digital Logic.
Concurrent vs Sequential
VHDL Introduction.
Digital Logic.
ECE 331 – Digital System Design
IAS 0600 Digital Systems Design
Digital Logic Circuits
EEL4712 Digital Design (VHDL Tutorial).
VHDL - Introduction.
Presentation transcript:

Apr. 3, 2000Systems Architecture I1 Introduction to VHDL (CS 570) Jeremy R. Johnson Wed. Nov. 8, 2000

Apr. 3, 2000Systems Architecture I2 Introduction Objective: To provide an introduction of digital design and simulation. To introduce the hardware description language VHDL and the VHDL simulator and design tool Active-HDL. Behavioral models Structural models Discrete event simulation –signals –events –waveforms –concurrency

Apr. 3, 2000Systems Architecture I3 VHDL VHSIC Hardware Description Language –Very High Speed Integrated Circuit IEEE standard –IEEE –IEEE A language for describing digital designs with several levels of abstraction –behavioral (describe what the design does) –structural (describe how the design is implemented) Tools exist for verifying designs and synthesizing hardware layout from designs specified in VHDL

Apr. 3, 2000Systems Architecture I4 VHDL Simulation Since a VHDL program is a description of a design it, by itself, does not compute anything To verify a design, it must be simulated on a set of inputs Computation is “event driven” –when inputs change (an event) the corresponding outputs are computed using rules specified in the design –The state of the design may also change (sequential logic - e.g. memory, registers, or any state variables) –changes propagate throughout the system –there may be delays –operations may occur concurrently

Apr. 3, 2000Systems Architecture I5 VHDL constucts Entity Port Architecture –implementation of an entity –support for both behavioral and structural models Signal –std_ulogic (from IEEE 1164 library) –input/output signals –internal signals inside an architecture –assignment –delays Vectors of signals (bus)

Apr. 3, 2000Systems Architecture I6 Half Adder entity half_adder is port(a,b : in std_ulogic; sum,carry : out std_ulogic); end half_adder; a b sum carry

Apr. 3, 2000Systems Architecture I7 MUX entity mux is port(I0, I1, I2, I3 : in std_ulogic; Sel : in std_ulogic_vector (1 downto 0); Z : out std_ulogic); end mux; I0 I1 I2 I3 Z Sel

Apr. 3, 2000Systems Architecture I8 32-Bit ALU entity ALU32 is port(a,b : in std_ulogic_vector (31 downto 0); Op : in std_ulogic_vector (2 downto 0); result : in std_ulogic_vector (31 downto 0); zero : out std_ulogic; CarryOut : out std_ulogic; overflow : out std_ulogic); end ALU32; a b CarryOut Overflow Result Zero Op

Apr. 3, 2000Systems Architecture I9 Half Adder Behavioral Implementation library IEEE; use IEEE.std_logic_1164.all; entity half_adder is port(a,b : in std_ulogic; sum,carry : out std_ulogic); end half_adder; architecture concurrent_behavior of half_adder is begin sum <= (a xor b) after 5 ns; carry <= (a and b) after 5 ns; end concurrent_behavior;

Apr. 3, 2000Systems Architecture I10 Half Adder Structural Implementation library IEEE; use IEEE.std_logic_1164.all; entity half_adder is port(a,b : in std_ulogic; sum,carry : out std_ulogic); end half_adder; architecture structural of half_adder is component and_2 port(x,y : in std_logic; c : out std_logic); end component

Apr. 3, 2000Systems Architecture I11 Half Adder Implementation (cont) component xor_2 port(x,y : in std_logic; c : out std_logic); end component; begin X1 : xor_2 port map(x => a, y => b, c =>sum); A1 : and_2 port map(x => a, y => b, c => carry); end structural;

Apr. 3, 2000Systems Architecture I12 Simulation Model Signal changes are called events Whenever an input signal changes the output is recomputed –changes are propogated –there may be a delay Updates occur concurrently The history of changes on a signal produces a waveform (value vs. time) –shows delays and dependencies

Apr. 3, 2000Systems Architecture I13 Boolean Functions A boolean variable has two possible values (true/false) (1/0). A boolean function has a number of boolean input variables and has a boolean valued output. A boolean function can be described using a truth table There are 2 2 n boolean function of n variables. s x 0 x 1 f f x0x0 x1x1 s Multiplexor function

Apr. 3, 2000Systems Architecture I14 Boolean Expressions A boolean expression is a boolean function. Any boolean function can be written as a boolean expression –Disjunctive normal form (sums of products) –For each row in the truth table where the output is true, write a product such that the corresponding input is the only input combination that is true –Not unique E.G. (multiplexor function) s  x 0  x 1 + s  x 0  x 1 + s  x 0  x 1 + s  x 0  x 1 s x 0 x 1 f

Apr. 3, 2000Systems Architecture I15 Simplification of Boolean Expressions Simplifying multiplexor expression using Boolean algebra s  x 0  x 1 + s  x 0  x 1 + s  x 0  x 1 + s  x 0  x 1 = s  x 0  x 1 + s  x 0  x 1 + s  x 1  x 0 + s  x 1  x 0 (commutative law) = s  x 0  (x 1 + x 1 ) + s  x 1  (x 0 + x 0 ) (distributive law) = s  x 0  1 + s  x 1  1 (inverse law) = s  x 0 + s  x 1 (identity law) Verify that the boolean function corresponding to this expression as the same truth table as the original function.

Apr. 3, 2000Systems Architecture I16 Logic Circuits A single line labeled x is a logic circuit. One end is the input and the other is the output. If A and B are logic circuits so are: and gate or gate inverter (not) A B A A B

Apr. 3, 2000Systems Architecture I17 Logic Circuits Given a boolean expression it is easy to write down the corresponding logic circuit Here is the circuit for the original multiplexor expression x0x0 x1x1 s

Apr. 3, 2000Systems Architecture I18 Logic Circuits Here is the circuit for the simplified multiplexor expression x0x0 x1x1 s

Apr. 3, 2000Systems Architecture I19 Multiplexer A multiplexor is a switch which routes n inputs to one output. The input is selected using a decoder. d0d0 d1d1 d2d2 d3d3 s0s0 s1s1