FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Topics n Low power design. n Pipelining.

Slides:



Advertisements
Similar presentations
Topics Electrical properties of static combinational gates:
Advertisements

Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 261 Lecture 26 Logic BIST Architectures n Motivation n Built-in Logic Block Observer (BILBO) n Test.
Logic Synthesis – 3 Optimization Ahmed Hemani Sources: Synopsys Documentation.
FPGA-Based System Design: Chapter 5 Copyright  2004 Prentice Hall PTR Clocking disciplines Flip-flops. Latches.
Modern VLSI Design 2e: Chapter 8 Copyright  1998 Prentice Hall PTR Topics n High-level synthesis. n Architectures for low power. n Testability and architecture.
Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Topics High-level synthesis. Architectures for low power. GALS design.
FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Register-transfer Design n Basics of register-transfer design: –data paths and controllers.
Introduction to CMOS VLSI Design Lecture 19: Design for Skew David Harris Harvey Mudd College Spring 2004.
Introduction to CMOS VLSI Design Clock Skew-tolerant circuits.
Synchronous Digital Design Methodology and Guidelines
Clock Design Adopted from David Harris of Harvey Mudd College.
RTL Hardware Design by P. Chu Chapter 161 Clock and Synchronization.
Caltech CS184a Fall DeHon1 CS184a: Computer Architecture (Structures and Organization) Day17: November 20, 2000 Time Multiplexing.
CS Fall 2005 – Lec #16 – Retiming - 1 State Machine Timing zRetiming ySlosh logic between registers to balance latencies and improve clock timings.
Modern VLSI Design 2e: Chapter4 Copyright  1998 Prentice Hall PTR.
Dec. 6, 2005ELEC Glitch Power1 Low power design: Insert delays to eliminate glitches Yijing Chen Dec.6, 2005 Auburn university.
Pipelining and Retiming 1 Pipelining  Adding registers along a path  split combinational logic into multiple cycles  increase clock rate  increase.
1 COMP541 Sequencing – III (Sequencing a Computer) Montek Singh April 9, 2007.
Modern VLSI Design 2e: Chapter 5 Copyright  1998 Prentice Hall PTR Topics n Memory elements. n Basics of sequential machines.
Appendix A Pipelining: Basic and Intermediate Concepts
Lecture 7: Power.
Modern VLSI Design 2e: Chapter 4 Copyright  1998 Prentice Hall PTR Topics n Crosstalk. n Power optimization.
ECE 510 Brendan Crowley Paper Review October 31, 2006.
Low Power Design of Integrated Systems Assoc. Prof. Dimitrios Soudris
Low power architecture and HDL coding practices for on-board hardware applications Kaushal D. Buch ASIC Engineer, eInfochips Ltd., Ahmedabad, India
FPGA-Based System Design: Chapter 5 Copyright  2004 Prentice Hall PTR Topics n Basics of sequential machines. n Sequential machine specification. n Sequential.
6.893: Advanced VLSI Computer Architecture, September 28, 2000, Lecture 4, Slide 1. © Krste Asanovic Krste Asanovic
Modern VLSI Design 4e: Chapter 4 Copyright  2008 Wayne Wolf Topics n Interconnect design. n Crosstalk. n Power optimization.
FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Topics n Circuit design for FPGAs: –Logic elements. –Interconnect.
ENGG 6090 Topic Review1 How to reduce the power dissipation? Switching Activity Switched Capacitance Voltage Scaling.
Power Saving at Architectural Level Xiao Xing March 7, 2005.
1 VLSI Design SMD154 LOW-POWER DESIGN Magnus Eriksson & Simon Olsson.
Logic Synthesis For Low Power CMOS Digital Design.
Logic Synthesis for Low Power(CHAPTER 6) 6.1 Introduction 6.2 Power Estimation Techniques 6.3 Power Minimization Techniques 6.4 Summary.
Basics of Energy & Power Dissipation Lecture notes S. Yalamanchili, S. Mukhopadhyay. A. Chowdhary.
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Topics n Design methodologies.
Modern VLSI Design 2e: Chapter 3 Copyright  1998 Prentice Hall PTR Topics n Electrical properties of static combinational gates: –transfer characteristics;
FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR FPGA Fabric n Elements of an FPGA fabric –Logic element –Placement –Wiring –I/O.
FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Topics n FPGA fabric architecture concepts.
Modern VLSI Design 3e: Chapter 4 Copyright  1998, 2002 Prentice Hall PTR Topics n Combinational network delay. n Logic optimization.
Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Topics Basics of register-transfer design: –data paths and controllers; –ASM charts. Pipelining.
FPGA-Based System Design Copyright  2004 Prentice Hall PTR Logic Design Process n Functional/ Non-functional requirements n Mapping into an FPGA n Hardware.
Modern VLSI Design 3e: Chapter 4 Copyright  1998, 2002 Prentice Hall PTR Topics n Interconnect design. n Crosstalk. n Power optimization.
Topics Design methodologies. Kitchen timer example.
ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Under-Graduate Project Improving Timing, Area, and Power Speaker: 黃乃珊 Adviser: Prof.
경종민 Low-Power Design for Embedded Processor.
Topics Combinational network delay.
Topics Architecture of FPGA: Logic elements. Interconnect. Pins.
FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Circuit design for FPGAs n Static CMOS gate vs. LUT n LE output drivers n Interconnect.
Basics of Energy & Power Dissipation
Introduction to Clock Tree Synthesis
FPGA-Based System Design: Chapter 1 Copyright  2004 Prentice Hall PTR Moore’s Law n Gordon Moore: co-founder of Intel. n Predicted that number of transistors.
FPGA-Based System Design: Chapter 2 Copyright  2004 Prentice Hall PTR Topics n Logic gate delay. n Logic gate power consumption. n Driving large loads.
Modern VLSI Design 4e: Chapter 4 Copyright  2008 Wayne Wolf Topics n Combinational network delay. n Logic optimization.
Modern VLSI Design 3e: Chapter 8 Copyright  1998, 2002 Prentice Hall PTR Topics n Basics of register-transfer design: –data paths and controllers; –ASM.
Modern VLSI Design 3e: Chapter 3 Copyright  1998, 2002 Prentice Hall PTR Topics n Electrical properties of static combinational gates: –transfer characteristics;
Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 6.1 EE4800 CMOS Digital IC Design & Analysis Lecture 6 Power Zhuo Feng.
Lecture 17: Dynamic Reconfiguration I November 10, 2004 ECE 697F Reconfigurable Computing Lecture 17 Dynamic Reconfiguration I Acknowledgement: Andre DeHon.
FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Topics n FPGA fabric architecture concepts.
CS203 – Advanced Computer Architecture
-1- Soft Core Viterbi Decoder EECS 290A Project Dave Chinnery, Rhett Davis, Chris Taylor, Ning Zhang.
CS203 – Advanced Computer Architecture
Temperature and Power Management
SECTIONS 1-7 By Astha Chawla
Pipelining and Retiming 1
CS Spring 2008 – Lec #17 – Retiming - 1
Pipelining.
Lecture 26 Logic BIST Architectures
Presentation transcript:

FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Topics n Low power design. n Pipelining.

FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Rules for reducing power consumption. n Turn it off. –Eliminates leakage current. n Slow it down, reduce voltage. –Performance is linear with clock frequency. –Power is V 2. n Don’t change its inputs. –Activity-dependent.

FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Energy and power n Energy = power * time. n Energy consumption is critical for battery- powered systems. n Power consumption is critical for heat dissipation limited systems.

FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Energy and performance n In many cases, high performance = low energy. –Efficiency pays off in both arenas. n In some cases, energy can be saved by reducing performance. –P = 1/2 CV 2 –Power goes down faster than performance.

FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Levels of abstraction n Physical: –Minimize capacitance. n Gate: –Use low leakage gates. n Combinational: –Avoid twitches. n Register-transfer: –Avoid using units. n Architecture: –Slow things down, turn them off.

FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Sources of energy consumption n Static: –Leakage. n Dynamic: –Switching activity.

FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Physical optimizations n Assuming equal signal probabilities, total wire capacitance is proportional to dynamic power consumption. n Shorter wires -> less power consumption. n More active nets should be shortened first.

FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR How to reduce wire length n Use hard macros where possible. n Add placement constraints. n Use design hierarchy to guide placement search. n Use nets with small drivers where possible. –Don’t drive a net faster than it needs to go.

FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Logic/circuit optimizations n Turn off gate where possible. –Not an option in most FPGAs, but it should be. n Operate gate at low voltage. –Speed decreases linearly, power decreases as V 2.

FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Combinational optimizations n Design network to avoid unnecessary glitching where possible. –Balance delays across paths. n Can duplicate logic to reduce wire lengths. –Does the duplicate logic use less power than the wire?

FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Register-transfer optimizations n Hold inputs when a unit’s output will not be used. –Put register at inputs. n Turn off units when they won’t be used for several cycles. –Can’t selectively turn off LEs in most FPGAs.

FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Architectures for low power n Two important methods: –architecture-driven voltage scaling –power-down modes

FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Architecture-driven voltage scaling n Add extra logic to increase parallelism so that system can run at lower rate. n Power improvement for n parallel units over Vref: –P n (n) = [1 + C i (n)/nC ref + C x (n)/C ref ](V/V ref ) Clock = 50 MHz Clock = 25 MHz

FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Power-down modes n CMOS doesn’t consume power when not transitioning. Many systems can incorporate power-down modes: –condition the clock on power-down mode; –add state to control for power-down mode; –modify the control logic to ensure that power- down/power-up don’t corrupt control state.

FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Pipelines n Provide higher utilization of logic: Combinational logic P1P1 P2P2

FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Pipeline metrics n Throughput: rate at which new values enter the system. –Initiation interval: time between successive inputs. n Latency: delay from input to output.

FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Simple pipelines n Pure pipelines have no control. n Choose latency, throughput. n Choose register locations with retiming. n Overhead: –Setup, hold times. –Power.

FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Complex pipelines n Actions in pipeline depend on data or external events. n Actions on pipe: –Stall values. –Abort operation. –Bypass values.

FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Pipeline metrics n Ignore register delay: –Combinational logic delay D. –Latency L. –Throughput T. n Delay through unpipelined system. –L = D. –T = 1/D.

FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Adding pipeline stages n Add a pipeline stage: –Latency remains L = D. –Throughput increases: T = 2/D. n n-stage pipeline: –Throughput increases: T = n/D. n Clock period: –P = D/n.

FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Performance vs. pipeline stages # stages throughput clock period

FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Adding pipeline stages n Must add a pipeline stage that cuts the logic. –Cutset for PI-PO graph. n Can use retiming to position the registers in the logic.

FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Cutsets

FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Bad pipeline

FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Pipeline utilization n Need to fill up the pipeline. –Later stages are unused as the pipeline fills up. n Assume D stages of valid data, n total stages. –Utilization U= D / D+n. n In steady state, utilization approaches 1.

FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Pipelines with control n Pipeline may do different things at different times. –CPU control flow. n Must make sure that the pipeline operates properly in all cases.

FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Sending a control signal forward

FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Sending a control signal backward n Make sure control arrives at right cycle:

FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Combining signals from multiple cycles n Different stages can’t use ALU on same cycle.

FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Distributed pipeline control

FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Pipeline control logic n Ideal pipeline needs no significant control: s1 -/ALU = op

FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Simple decisions n Simple decision doesn’t add states: s1 0 /ALU = +1 /ALU = -

FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Controlling a pipeline flush

FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Product machine for pipeline flush

FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Hardware sharing control

FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Pipeline verification n Extensive simulation is required to exercise the pipeline. –State of pipeline stages interact. n Symbolic simulation: simulate names, not particular values.