Microelectronics User Group Meeting TWEPP 2013, Perugia, IT 26/9/2013.

Slides:



Advertisements
Similar presentations
Barcelona Forum on Ph.D. Research in Communications, Electronics and Signal Processing 21st October 2010 Soft Errors Hardening Techniques in Nanometer.
Advertisements

Embedded Systems Design: A Unified Hardware/Software Introduction 1 Chapter 10: IC Technology.
Microelectronics User Group Meeting TWEPP 2009, Paris 22/9/2009.
MICROELETTRONICA Design methodologies Lection 8. Design methodologies (general) Three domains –Behavior –Structural –physic Three levels inside –Architectural.
Microelectronics User Group Meeting TWEPP 2012, Oxford, UK 19/9/2012.
Timepix2 power pulsing and future developments X. Llopart 17 th March 2011.
Design Tools, Flows and Library Aspects during the FE-I4 Implementation on Silicon Vladimir Zivkovic National Institute for Subatomic Physics Amsterdam,
Status of 65nm foundry access for Aida June 2013 A. Marchioro CERN/PH-ESE.
What is an IP Core ?.
65nm CMOS Foundry Services
R. Kluit Electronics Department Nikhef, Amsterdam. Integrated Circuit Design.
High-Quality, Low-Cost IC Fabrication with MOSIS
March 20, 2001M. Garcia-Sciveres - US ATLAS DOE/NSF Review1 M. Garcia-Sciveres LBNL & Module Assembly & Module Assembly WBS Hybrids Hybrids WBS.
1 Quarterly Technical Report 1 for Pittsburgh Digital Greenhouse Kyusun Choi The Pennsylvania State University Computer Science and Engineering Department.
ATLAS – CMS RD collaboration: Pixel readout integrated circuits for extreme rate and radiation The “pixel 65” collaboration 1.
Access, support and digital libraries for 65nm Sandro Bonacini CERN, PH-ESE dept. CH1211, Geneve 23 Switzerland.
Low power AES implementations for RFID
EGRE 427 Advanced Digital Design Figures from Application-Specific Integrated Circuits, Michael John Sebastian Smith, Addison Wesley, 1997 Chapter 4 Programmable.
1 Radiation tolerance of commercial 130nm CMOS technologies for High Energy Physics Experiments Federico Faccio for the CERN(PH/MIC)-DACEL * collaboration.
EUDET Report - MICELEC Activities Design Tools Status.
ASIC Technology Support and Foundry Services at CERN Kostas Kloukinas CERN, PH-ESE dept. CH1211, Geneve 23 Switzerland.
Work Package 2: ASIC building blocks for SLHC ACEOLE Twelve Month Meeting 1 st October 2009 CERN – Geneva, Switzerland Paulo Moreira.
Process Monitor/TID Characterization Valencia M. Joyner.
Circuit design with a commercial 0.13  m CMOS technology for high energy physics applications K. Hänsler, S. Bonacini, P. Moreira CERN, EP/MIC.
A.Marchioro - CERN/PH1 Accessing 130 nm CMOS Tech for ILC (Public Version) Oct 2006, Munich A. Marchioro CERN, Div. PH 1211 Geneva 23, Switzerland.
Progress on STS CSA chip development E. Atkin Department of Electronics, MEPhI A.Voronin SINP, MSU.
EGEE is a project funded by the European Union under contract IST JRA1-SA1 requirement gathering Maite Barroso JRA1 Integration and Testing.
Work Package 3 On-detector Power Management Schemes ESR Michal Bochenek ACEOLE Twelve Month Meeting 1st October 2009 WPL Jan Kaplon.
Advanced Semiconductor Technologies for SLHC A. Marchioro / PH-ESE.
CMOS Fabrication nMOS pMOS.
Jorgen Christiansen, CERN PH-ESE 1.  Spokes persons and Institute chair elected ◦ SP’s: ATLAS: Maurice Garcia-Sciveres, LBNL CMS: Jorgen Christiansen,
H.-G. Moser MPI Munich Valerio Re INFN AIDA WP3 Summary 1 Status of milestones and deliverables Status of sub-projects Plans for the last year of AIDA.
ASIC technology support and foundry services at CERN
M. Letheren, LECC 1 June 2001; Status of RD49 and COTS; objectives of one day review1 Status of RD49 and COTS and objectives of a one-day review RD49 -
Update on the Design Implementation Methodology for the 130nm process Microelecronics User Group meeting TWEPP 2010 – Aachen Sandro Bonacini CERN PH/ESE.
Design tools and foundry access service plans for 65 nm technologies
Special Focus Session On CMOS MAPS and 3D Silicon R. Yarema On Behalf of Fermilab Pixel Development Group.
EUDET-MICELEC Status Report October 2007 A. Marchioro / CERN-PH.
MUG meeting Wrap Up A new version of the CMOS8RF (130nm) Mixed Signal Design kit has been developed at CERN and is ready for release.  Based on the foundry.
Motivation for 65nm CMOS technology
WP3 Microelectronics and interconnection technology
CERN MIC Support for HEP Microelectronics Designers A. Marchioro / CERN-PH EUDET Meeting - December 14 th 2005.
Microelectronics User Group Meeting TWEPP 2013, Perugia, IT 26/9/2013.
News on the 130 nm technology support Wojciech BIALAS, Kostas KLOUKINAS CERN, PH-ESE dept. CH1211, Geneve 23 Switzerland.
Microelectronics User’s twepp2008 Topical Workshop on Electronics for Particle Physics Naxos, Greece 16/9/2008.
R2E Availability October 17 th 2014 ADC and Common development options G. Spiezia.
Federico Faccio CERN/PH-MIC
Microelectronics User Group Meeting TWEPP 2015, Lisbon, Portugal 1/10/2015.
MUX-2010 DISCUSSION : W HAT DO WE NEED NEXT IN HEP.
Jorgen Christiansen, CERN PH-ESE 1.  EPIX ITN proposal did not get requested EU funding ◦ CERN based proposals did very bad this time. ◦ I better not.
Microelectronics for HEP A. Marchioro / CERN-PH-ESE.
R. Kluit Electronics Department Nikhef, Amsterdam. Integrated Circuit Design.
LPNHE - Serial links for Control in 65nm CMOS technology - 65nm CMOS - Higher density, less material, less power - Enhanced radiation hardness regular.
WP1 WP2 WP3 WP4 WP5 COORDINATOR WORK PACKAGE LDR RESEARCHER ACEOLE MID TERM REVIEW CERN 3 RD AUGUST 2010 ASIC Building Blocks for SLHC ACEOLE Mid Term.
An·ec·dote /ˈanikˌdōt/ Noun A short and amusing or interesting story about a real incident or person LBL - May 31,2013Support for uT at CERN - A. Marchioro1.
Access to ASIC design tools and foundry services at CERN for SLHC
Design of the 64-channel ASIC: status
Access to 65nm Technology through CERN
Upgrade of the ATLAS MDT Front-End Electronics
Top-level Schematics Digital Block Sign-off Digital Model of Chip
Site License Advisory Team
Chapter 10: IC Technology
Chapter 10: IC Technology
DARE180U Platform Improvements in Release 5.6
Work Package 5 IC Technologies
Chapter 10: IC Technology
PDK Standardization.
ATMX150RHA Circuit Design Platform
Presentation transcript:

Microelectronics User Group Meeting TWEPP 2013, Perugia, IT 26/9/2013

Agenda “News on foundry access services via CERN” by Kostas Kloukinas (CERN) (15’) “Status of 65nm technology access, distribution and IP block development.” by Sandro Bonacini (CERN) (25’) “Open Discussion” “CAE Tools for the European HEP community” by ”EUROPRACTICE UK (10’) 26/9/13 2

Supported Technologies CMOS 8RF-LM Low cost technology for Large Digital designs CMOS 8RF-LM Low cost technology for Large Digital designs CMOS 8RF-DM Low cost technology for Analog & RF designs CMOS 8RF-DM Low cost technology for Analog & RF designs BiCMOS 8WL-HP High Performance technology for demanding RF designs CMOS 9SF LP/RF High performance technology for dense designs CMOS 9SF LP/RF High performance technology for dense designs 130nm CMOS 90nm CMOS 26/9/13 CMOS 65nm High performance technology for dense designs CMOS 65nm High performance technology for dense designs 65nm CMOS CMOS 6SF Legacy designs CMOS 6SF Legacy designs 250nm CMOS 3 130nm CMOS CMOS 130nm Cost efficient technology for Analog & RF designs CMOS 130nm Cost efficient technology for Analog & RF designs Foundry A Foundry B Active technology nodes: Legacy technology: CMOS6SF 250nm Mainstream technology: CMOS8RF 130nm  95% of ASIC projects on DM variant Advance technology: CMOS 65nm  For LHC upgrade applications. Alternate technology: CMOS 130nm

Foundry Services News Contract with Foundry A  Contract renewed for 3 years ( )  Same pricing conditions apply with some minor changes  Confidential Disclosure Agreement (CDA) with institutes will expire by the end of 2013 Renewal procedure has started Institute signatory authorities are already contacted by ACTION: verify that your institute has renewed the CDA with the foundry to maintain uninterrupted access to technology Contract with Foundry B  Foundry access via an intermediary silicon broker  Contract preparation is in the final stage  Long procedures for negotiating technology information disclosure legal terms and contractual pricing conditions for prototyping and production services  Contract covers a period of 5 years ( )  More information on the next presentation by Sandro Bonacini. 26/9/13 4

Support for Foundry A 26/9/13 5 Foundry Physical IP vendors CAE Tools vendors CERN CAE tools & technology support Cadence VCAD design services CERN designers External designers Compiles and Distributes the 130nm Mixed Signal Design kit Provide maintenance and technical support to the collaborating institutes.

130nm MPW activity CERN participates on all MOSIS MPW runs (4 runs/year) and organizes ad-hoc MPWs directly with the foundry for high volume and/or area demanding designs Prototyping and Engineering run costs are kept the same for the last 2 years. 26/9/13 Evolution of the Prototyping activity on CMOS8RF for the last 6 years CMOS8RF-DM (3-2-3) is the dominant metal stack 6

Support for Foundry B 7 Foundry IMEC Physics institutes Cadence VCAD design services CERN Sandro Bonacini - PH/ESE - Distribution of package to institutes done by IMEC  Sign NDA with institutes  Distribute the M/S design kit and workflows  Provide maintenance and updates in collaboration with VCAD

65nm Mixed Signal Design kit Objectives  Development of a “Design Kit” for Mixed Signal environments. With integrated standard cell libraries. Establish well defined Analog & Mixed Signal design workflows. Implemented on modern versions of CAE Tools. Physical Layout views available. Suitable for analog, digital and mixed design  Foundry database not made for full M/S interoperability Technology library and PDK in OA (and CDB) Digital libraries in CDB only Must be ported to OA for full M/S flow Integration work done by VCAD (Cadence)  Many modifications in the technology file  Validated by CERN Work with VCAD started Apr  Preliminary version delivered to CERN this month  Final version target date: 31st October /9/09 8 M/S kit PDK Digital Libs Flow Sandro Bonacini - PH/ESE -

Supported metal stacks and libraries 9 STI poly M2 M1 M3 M5 M6 M4 M1 W M2 M3 M4 RDL M5 M4 M5 poly M2 M1 M3 M1 W M2 M3 W M6 RDL passivation M1 W W mimcap Sandro Bonacini - PH/ESE - CERN mixed signal (M/S) kit will be supported for:  2 metal stacks 6+1 metals (“CERN metal stack”)  4-thin, 1-thick, 1-UTM, RDL 9+1 metals (compatible with IMEC  7-thin, 1-thick, 1-UTM, RDL  k$ for mask set (!)  2 choices of std. cell libraries 9-tracks, standard-Vt  tcbn65lp 7-tracks, high-Vt  tcbn65lpbwp7thvt

Rad-hard libraries 10 Standard cell & IO pad libraries from foundry suffer from radiation effects  NMOS leakage  PMOS tend to turn off + loss in transconductance Re-characterize standard cells libraries  PMOS drive loss results in speed loss with TID (above ~50Mrad)  Create standard cell library timing (liberty file) for radiation corner Develop Radiation hardened I/O pad library  Rated for 1.2V or 1.0V  Only core devices, thin gate oxide  Subcontract the development work for rad-hard ESD circuitry Access to layout views & modification allowed by foundry  Clause of no-redistribution except to signatories of NDA (list to be updated annually)  Discharge of any foundry liability for modified libraries  Modified library has to be given back to foundry  Library NOT to be used with other foundry !!! Sandro Bonacini - PH/ESE -

Rad-hard IP Blocks 11 Rad-hard SRAM compiler  Single-port / pseudo-dual-port  Minimum size: 128 words of 8 bit  Max size: 1k words of 256 bits  Specifications on minimum W of transistors to avoid leakage and drive loss W PMOS >500nm, W NMOS >200nm  Design outsourced Jul Delivery expected Jan ADC, bandgap  Delivery expected 2014,Q2 Sandro Bonacini - PH/ESE -

Open discussion Addressed many issues concerning access to Foundry B services for the 65nm process  Technical (Design kit, IP blocks and metal stack options)  Non technical (NDA clauses, fabrication cost etc) Institutes must sign the specially prepared 3-party NDA for Foundry B access services that permits the use of the technologies in collaborations Export restrictions apply as for Foundry A Prototyping costs Foundry B 130nm process  Judicious choice for future projects  Need radiation hardness validation and MS design kit development CAE tools  Europractice distribution of CAE tools for the HEP community  Design database management CAE tool for teamwork. 26/9/13 12

26/9/13 13