Adding the Superset Adder to the DesignWare IP Library

Slides:



Advertisements
Similar presentations
ADDER, HALF ADDER & FULL ADDER
Advertisements

©2004 Brooks/Cole FIGURES FOR CHAPTER 10 INTRODUCTION TO VHDL Click the mouse to move to the next page. Use the ESC key to exit this chapter. This chapter.
Verilog Descriptions of Digital Systems
Introduction So far, we have studied the basic skills of designing combinational and sequential logic using schematic and Verilog-HDL Now, we are going.
Institute of Applied Microelectronics and Computer Engineering College of Computer Science and Electrical Engineering, University of Rostock Slide 1 Spezielle.
Tutorial: Wednesday Week 3 Hand in on Monday, Do the questions for tutorials 1 & 2 at the back of the course notes (answers to tutorial 3 will be published.
ECE 331 – Digital System Design
Kazi Spring 2008CSCI 6601 CSCI-660 Introduction to VLSI Design Khurram Kazi.
CSE-221 Digital Logic Design (DLD)
IMPLEMENTATION OF µ - PROCESSOR DATA PATH
1 Design of a Parallel-Prefix Adder Architecture with Efficient Timing-Area Tradeoff Characteristic Sabyasachi Das University of Colorado, Boulder Sunil.
ECE C03 Lecture 61 Lecture 6 Arithmetic Logic Circuits Hai Zhou ECE 303 Advanced Digital Design Spring 2002.
Chapter # 5: Arithmetic Circuits Contemporary Logic Design Randy H
Lecture 8 Arithmetic Logic Circuits
1 8 Bit ALU EE 166 Design Project San Jose State University Roger Flores Brian Silva Chris Tran Harizo Yawary Advisor: Dr. Parent May 2006.
©2010 Cengage Learning Engineering. All Rights Reserved.10-0 Introduction to VHDL PowerPoint Presentation © Cengage Learning, Engineering. All Rights.
ECE 301 – Digital Electronics
Chapter 5 Arithmetic Logic Functions. Page 2 This Chapter..  We will be looking at multi-valued arithmetic and logic functions  Bitwise AND, OR, EXOR,
Adders. Full-Adder The Binary Adder Express Sum and Carry as a function of P, G, D Define 3 new variable which ONLY depend on A, B Generate (G) = AB.
Parallel Prefix Adders A Case Study
Part 2: DESIGN CIRCUIT. LOGIC CIRCUIT DESIGN x y z F F = x + y’z x y z F Truth Table Boolean Function.
Chapter 7 Arithmetic Operations and Circuits Hexadecimal Arithmetic 4 binary bits represent a single hexadecimal digit Addition –Add the digits.
 Arithmetic circuit  Addition  Subtraction  Division  Multiplication.
Calculator Lab Overview Note: Slides Updated 10/8/12
Asynchronous Datapath Design Adders Comparators Multipliers Registers Completion Detection Bus Pipeline …..
Abdullah Aldahami ( ) Feb26, Introduction 2. Feedback Switch Logic 3. Arithmetic Logic Unit Architecture a.Ripple-Carry Adder b.Kogge-Stone.
Digital Components and Combinational Circuits Sachin Kharady.
1 Design Space Exploration for Power-Efficient Mixed-Radix Ling Adders Chung-Kuan Cheng Computer Science and Engineering Depart. University of California,
ADDERS Half Adders Recall that the basic rules of binary addition are as indicated below in Table 2-9. A circuit known as the half-adder carries out these.
Chapter # 5: Arithmetic Circuits
Arithmetic Building Blocks
5-1 Programmable and Steering Logic Chapter # 5: Arithmetic Circuits.
High Performance Circuit Design By Prof. V. Kamakoti Department of Computer Science and Engineering Indian Institute of Technology, Madras Chennai – 600.
Fully Pipelined FPU for OR1200
Design of Binary Arithmetic Circuits Experiment 7.
4. Computer Maths and Logic 4.2 Boolean Logic Logic Circuits.
Half Adder & Full Adder Patrick Marshall. Intro Adding binary digits Half adder Full adder Parallel adder (ripple carry) Arithmetic overflow.
EE141 © Digital Integrated Circuits 2nd Arithmetic Circuits 1 Digital Integrated Circuits A Design Perspective Arithmetic Circuits Jan M. Rabaey Anantha.
Universal college of engineering & technology. .By Harsh Patel)
1 Ethics of Computing MONT 113G, Spring 2012 Session 5 Binary Addition.
Logic and computers 2/6/12. Binary Arithmetic /6/ Only two digits: the bits 0 and 1 (Think: 0 = F, 1.
Unrolling Carry Recurrence
Axilog: Language Support for Approximate Hardware Design DATE 2015 Georgia Institute of Technology Alternative Computing Technologies (ACT) Lab Georgia.
CS/EE 3700 : Fundamentals of Digital System Design Chris J. Myers Lecture 5: Arithmetic Circuits Chapter 5 (minus 5.3.4)
Digital Integrated Circuits 2e: Chapter Copyright  2002 Prentice Hall PTR, Adapted by Yunsi Fei ECE 300 Advanced VLSI Design Fall 2006 Lecture.
EKT 221 : Digital 2 Serial Transfers & Microoperations Date : Lecture : 2 hr.
CPEN Digital System Design
CHAPTER 2 Digital Combinational Logic/Arithmetic Circuits
ECE 320 Homework #4 1. Using 8 data input selector logic (MUX), implement the following two functions: a) F(A,B,C)=S 0 S 2 S 3 S 5 b) F(A,B,C,D)=P 0 +P.
Lecture #23: Arithmetic Circuits-1 Arithmetic Circuits (Part I) Randy H. Katz University of California, Berkeley Fall 2005.
How does a Computer Add ? Logic Gates within chips: AND Gate A B Output OR Gate A B Output A B A B
EEL 5722 FPGA Design Fall 2003 Digit-Serial DSP Functions Part I.
Electrical Engineering Engineering the Future Digital Circuits Fundamentals Hands-on Full-Adder Simulation (afternoon)
EE141 Arithmetic Circuits 1 Chapter 14 Arithmetic Circuits Rev /12/2003.
1 Architecture of Datapath- oriented Coarse-grain Logic and Routing for FPGAs Andy Ye, Jonathan Rose, David Lewis Department of Electrical and Computer.
1 Computer Architecture & Assembly Language Spring 2009 Dr. Richard Spillman Lecture 11 – ALU Design.
Combinational Circuits
EKT 221 : Digital 2 Serial Transfers & Microoperations
CSE241A VLSI Digital Circuits Winter 2003 Recitation 2
EKT 221 : Digital 2 Serial Transfers & Microoperations
Reference: Moris Mano 4th Edition Chapter 4
Summary Half-Adder Basic rules of binary addition are performed by a half adder, which has two binary inputs (A and B) and two binary outputs (Carry out.
Chap. 8 Datapath Units: Multiplier Design
ECE 331 – Digital System Design
CSE Winter 2001 – Arithmetic Unit - 1
ECE 551: Digital System Design & Synthesis
Arithmetic Circuits (Part I) Randy H
Number Systems and Circuits for Addition
Combinational Circuits
XOR Function Logic Symbol  Description  Truth Table 
Presentation transcript:

Adding the Superset Adder to the DesignWare IP Library Stevo Bailey

Motivation Technology scaling exacerbates variation and reliability issues Memory circuits have redundancy and error detection/correction schemes Need arises for robust arithmetic datapaths Redundancy, reconfiguration

Addition in Circuits A3 B3 A2 B2 A1 B1 A0 B0 Cin A3 B3 A2 B2 A1 B1 FA Setup FA G3 P3 G2 P2 G1 P1 G0 P0 FA G3:2 P3:2 G1:0 P1:0 FA CLA (Prefix) Blocks Cout S3 S2 S1 S0 Ripple Carry Addition Delay: O(N) G3:0 P3:0 Mention how tree is just calculating Cout; similar trees exist for each sum Mention logic depth of each adder (4 for ripple, 2 for cla) Minimum Parallel Prefix Delay: O(log2N) Prefix Operation: Sum Calculation (not shown): (G1:0, P1:0) = (G1 + P1G0, P1P0) Si = Gi-1:0 XOR Pi

Parallel Prefix Adders Kogge-Stone Brent-Kung Trade logic depth (delay) for complexity (area and power) Radix 2, fan-in 2 Han-Carlson

Superset Adder Full Tree Full controllability over prefix nodes

Synopsys Overview Module Compiler Code Module Compiler Optimized VHDL Add to DC Synthetic Library Path Synthetic Library Code Analyze VHDL in DC

Module Compiler Input and Synthesis MCL Code Module Compiler Analysis

Module Compiler Output Module Compiler Output Files Module Compiler Output VHDL

Module Compiler Relative Placement Relative Placement View (manual)

Area Comparison

Delay Comparison

Synthetic Library Code Design Compiler Code TCL Script Synthetic Library Code

8-bit Superset Adder Schematic Design Compiler 8-bit Superset Adder Schematic

8-bit Superset Adder Schematic Design Compiler 8-bit Superset Adder Schematic

Current Issue How do I get VCS to simulate my adders when they include library components?

Questions?