ECE 331 – Digital System Design Multiplexers and Demultiplexers (Lecture #13)

Slides:



Advertisements
Similar presentations
Multiplexers Section 3-7 Mano & Kime. Multiplexers & Demultiplexers Multiplexers (Selectors) Lab 1 – Behavioral VHDL -- Multiplexers MUX as a Universal.
Advertisements

Multiplexer as a Universal Function Generator Lecture L6.7 Section 6.2.
1 Lecture 13 VHDL 3/16/09. 2 VHDL VHDL is a hardware description language. The behavior of a digital system can be described (specified) by writing a.
Quad 2-to-1 and Quad 4-to-1 Multiplexers Discussion D2.4 Example 7.
Multiplexer as a Universal Element Discussion D2.6 Example 9.
1 VLSI DESIGN USING VHDL Part II A workshop by Dr. Junaid Ahmed Zubairi.
Ring Counter Discussion D5.3 Example 32. Ring Counter if rising_edge(CLK) then for i in 0 to 2 loop s(i)
Top-level VHDL Designs
Generic Multiplexers: Parameters Discussion D2.5 Example 8.
2-to-1 Multiplexer: if Statement Discussion D2.1 Example 4.
Decoders and Encoders Lecture L4.2. Decoders and Encoders Binary Decoders Binary Encoders Priority Encoders.
Introduction to VHDL VHDL Tutorial R. E. Haskell and D. M. Hanna T1: Combinational Logic Circuits.
4-to-1 Multiplexer: case Statement Discussion D2.3 Example 6.
Multiplexer as a Universal Function Generator
Introduction to VHDL Multiplexers Discussion D1.1.
ECE 448 Lecture 3 Combinational-Circuit Building Blocks Data Flow Modeling of Combinational Logic ECE 448 – FPGA and ASIC Design with VHDL.
George Mason University ECE 448 – FPGA and ASIC Design with VHDL Combinational-Circuit Building Blocks Data Flow Modeling of Combinational Logic ECE 448.
ECE 331 – Digital System Design Single-bit Adder Circuits and Adder Circuits in VHDL (Lecture #12) The slides included herein were taken from the materials.
ECE 331 – Digital System Design
ECE 301 – Digital Electronics Multiplexers and Demultiplexers (Lecture #12)
EE 367 – Logic Design Lecture #17
CSET 4650 Field Programmable Logic Devices Dan Solarek VHDL Behavioral & Structural.
Reouven Elbaz – February 10 th, 2009 Office room: DC3576 ECE223.
L23 – Arithmetic Logic Units. Arithmetic Logic Units (ALU)  Modern ALU design  ALU is heart of datapath  Ref: text Unit 15 9/2/2012 – ECE 3561 Lect.
Data Flow Modeling of Combinational Logic Simple Testbenches
ENG6090 RCS1 ENG6090 Reconfigurable Computing Systems Hardware Description Languages Part 4: Modeling Dataflow.
A.7 Concurrent Assignment Statements Used to assign a value to a signal in an architecture body. Four types of concurrent assignment statements –Simple.
1 Combinational Logic Lecture #6. 모바일컴퓨터특강 2 강의순서 Decoder 3x8 Mux 4x1 Mux 8x1 Mux 8x1 4bits Half Adder Full Adder Ripple carry Adder 4-bit Adder.
ENG241 Digital Design Week #4 Combinational Logic Design.
Multiplexers and Demultiplexers, and Encoders and Decoders
VHDL for Combinational Circuits. VHDL We Know Simple assignment statements –f
ECE 332 Digital Electronics and Logic Design Lab Lab 6 Concurrent Statements & Adders.
ECE 331 – Digital System Design Single-bit Adder Circuits and Adder Circuits in VHDL (Lecture #11) The slides included herein were taken from the materials.
2’s Complement 4-Bit Saturator Discussion D2.8 Lab 2.
4-to-1 Multiplexer: Module Instantiation Discussion D2.2 Example 5.
George Mason University Data Flow Modeling in VHDL ECE 545 Lecture 7.
ECE 331 – Digital System Design Multi-bit Adder Circuits, Adder/Subtractor Circuit, and Multiplier Circuit (Lecture #12)
Lecture #18 Page 1 ECE 4110– Sequential Logic Design Lecture #18 Agenda 1.MSI Demultiplexers 2.MSI Tri-State Buffers 3.MSI Comparators Announcements 1.HW.
9/9/2006DSD,USIT,GGSIPU1 Concurrent vs Sequential Combinational vs Sequential logic –Combinational logic is that in which the output of the circuit depends.
CS/EE 3700 : Fundamentals of Digital System Design
 Seattle Pacific University EE Logic System DesignMux-Decoder-1 Multiplexers Two alternative forms for a 2:1 Mux Truth Table Functional form Logical.
Data Flow Modeling in VHDL
Apr. 3, 2000Systems Architecture I1 Introduction to VHDL (CS 570) Jeremy R. Johnson Wed. Nov. 8, 2000.
Multiplexors Decoders  Decoders are used for forming separate signals for different combination of input signals.  The multiplexer circuit is a digital.
May 9, 2001Systems Architecture I1 Systems Architecture I (CS ) Lab 5: Introduction to VHDL Jeremy R. Johnson May 9, 2001.
Digital System Design Multiplexers and Demultiplexers, and Encoders and Decoders.
Lecture 8 Review Combinational Devices –Decoder –Multiplexor (Bhasker p-81) –Shifter –Barrel Shifter (Bhasker p-303)
Lecture #17 Page 1 ECE 4110–5110 Digital System Design Lecture #17 Agenda 1.MSI Multiplexers 2.MSI Encoders Announcements Test 1 closed book, Wednesday.
George Mason University Data Flow Modeling of Combinational Logic ECE 545 Lecture 5.
Gunjeet kaur Dronacharya Group of Institutions. Demultiplexers.
Lecture #18 Page 1 ECE 4110–5110 Digital System Design Lecture #18 Agenda 1.MSI Demultiplexers 2.MSI Tri-State Buffers 3.MSI Comparators Announcements.
Combinational logic circuit
Systems Architecture Lab: Introduction to VHDL
Describing Combinational Logic Using Processes
Multiplexer.
ENG2410 Digital Design “Combinational Logic Design”
ECE 4110–5110 Digital System Design
Part II A workshop by Dr. Junaid Ahmed Zubairi
Multiplexers and Demultiplexers,
Lecture 4: Combinational Functions and Circuits
ENG6530 Reconfigurable Computing Systems
Combinational Circuits Using VHDL
ECE 448 Lecture 3 Combinational-Circuit Building Blocks Data Flow Modeling of Combinational Logic ECE 448 – FPGA and ASIC Design with VHDL.
Concurrent vs Sequential
ECE 331 – Digital System Design
Modeling of Circuits with a Regular Structure
Modeling of Circuits with a Regular Structure
Modeling of Circuits with Regular Structure
4-Input Gates VHDL for Loops
Digital Logic with VHDL
Presentation transcript:

ECE 331 – Digital System Design Multiplexers and Demultiplexers (Lecture #13)

ECE Digital System Design2 Multiplexers

ECE Digital System Design3 Multiplexer A multiplexer switches (or routes) data from 2 N inputs to the output, where N is the number of select inputs. A multiplexer (mux) is a digital switch.

ECE Digital System Design4 Multiplexer: 2-to-1 f s w 0 w f f s w 0 w 1 s w 0 w 1 s = 0 selects w 0 s = 1 selects w 1 F = (w 0.s') + (w 1.s) 2 inputs1 output 1 select

ECE Digital System Design5 LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY mux2to1 IS PORT (w0, w1, s: IN STD_LOGIC ; f: OUT STD_LOGIC ) ; END mux2to1 ; ARCHITECTURE Behavior OF mux2to1 IS BEGIN WITH s SELECT f <=w0 WHEN '0', w1 WHEN OTHERS ; END Behavior ; Multiplexer: 2-to-1 (VHDL)

ECE Digital System Design6 LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY mux2to1 IS PORT (w0, w1, s : INSTD_LOGIC ; f : OUTSTD_LOGIC ) ; END mux2to1 ; ARCHITECTURE Behavior OF mux2to1 IS BEGIN f <= w0 WHEN s = '0' ELSE w1 ; END Behavior ; Multiplexer: 2-to-1 (VHDL)

7 Multiplexer: 4-to-1 f s 1 w 0 w s 0 w 2 w w 0 w fs 1 0 s 0 w 2 w 3 f s 1 w 0 w 1 s 0 w 2 w 3 F = (w 0.s 1 's 0 ') + (w 1.s 1 's 0 ) + (w 2.s 1 s 0 ') + (w 3.s 1 s 0 ) Two select signals

ECE Digital System Design8 0 w 0 w w 2 w f 0 1 s 1 s Multiplexer: 4-to-1 Select signal for first level of decoders Select signal for second level of decoders 2-to-1 Muxes

ECE Digital System Design9 LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY mux4to1 IS PORT (w0, w1, w2, w3: IN STD_LOGIC ; s: IN STD_LOGIC_VECTOR(1 DOWNTO 0) ; f: OUT STD_LOGIC ) ; END mux4to1 ; ARCHITECTURE Behavior OF mux4to1 IS BEGIN WITH s SELECT f <= w0 WHEN "00", w1 WHEN "01", w2 WHEN "10", w3 WHEN OTHERS ; END Behavior ; Multiplexer: 4-to-1 (VHDL)

10 Multiplexer: 16-to-1

ECE Digital System Design11 LIBRARY ieee ; USE ieee.std_logic_1164.all ; LIBRARY work ; USE work.mux4to1_package.all ; ENTITY mux16to1 IS PORT (w : IN STD_LOGIC_VECTOR(0 TO 15) ; s : IN STD_LOGIC_VECTOR(3 DOWNTO 0) ; f : OUT STD_LOGIC ) ; END mux16to1 ; ARCHITECTURE Structure OF mux16to1 IS SIGNAL m : STD_LOGIC_VECTOR(0 TO 3) ; BEGIN Mux1: mux4to1 PORT MAP ( w(0), w(1), w(2), w(3), s(1 DOWNTO 0), m(0) ) ; Mux2: mux4to1 PORT MAP ( w(4), w(5), w(6), w(7), s(1 DOWNTO 0), m(1) ) ; Mux3: mux4to1 PORT MAP ( w(8), w(9), w(10), w(11), s(1 DOWNTO 0), m(2) ) ; Mux4: mux4to1 PORT MAP ( w(12), w(13), w(14), w(15), s(1 DOWNTO 0), m(3) ) ; Mux5: mux4to1 PORT MAP ( m(0), m(1), m(2), m(3), s(3 DOWNTO 2), f ) ; END Structure ; Multiplexer: 16-to-1 (VHDL)

ECE Digital System Design12 Realizing Logic Functions using Multiplexers Multiplexers

ECE Digital System Design13 Mux: Realizing Logic Functions Each row in a Truth Table corresponds to a minterm – N-input Truth Table Each minterm can be mapped to a multiplexer input – N-input Multiplexer For each row in the Truth Table, where the output of the function is one (F = 1), – Set the corresponding input of the multiplexer to 1

ECE Digital System Design14 Given the following Truth Table: Design a logic circuit to implement this function, using a 4-to-1 Multiplexer. Mux: Realizing a Logic Function fs 1 0 s 0 1 0

ECE Digital System Design15 Exercise: Design a circuit, using a 4-to-1 Mux, to implement the Boolean expression given below. F X,Y =  m(0,2) Mux: Realizing a Logic Function

ECE Digital System Design16 Realizing Logic Functions using Multiplexers more efficiently. Multiplexers

ECE Digital System Design17 Mux: Realizing Logic Functions Efficiently Each row in a Truth Table corresponds to a minterm – N-input Truth Table A product term of N-1 variables can be mapped to each of the multiplexer inputs – (N-1)-input Multiplexer For the rows in the Truth Table, – Group N-1 inputs into pairs – Define the output of each pair using the N th input

ECE Digital System Design18 Given the following Truth Table: Design a logic circuit to implement this function, using a 2-to-1 Multiplexer. Mux: Realizing a Logic Function fs 1 0 s f s 1 s 0 s 0

ECE Digital System Design19 Given the Truth Table for a 3-input XOR: Design a logic circuit to implement this function, using 2-to-1 Multiplexers. Mux: Realizing a Logic Function w 1 w 2 w 3 f w 2 w 3  w 2 w 3 

ECE Digital System Design20 Mux: Realizing a 3-input XOR f w 3 w 1 w

ECE Digital System Design21 Exercise: Design a circuit, using a 4-to-1 Mux, to implement the Boolean expression given below. F X,Y,Z =  m(1,2,3,6) Mux: Realizing a Logic Function

ECE Digital System Design22 Demultiplexers

ECE Digital System Design23 Demultiplexer A demultiplexer switches (or routes) data from one input to 2 N outputs, where N is the number of select inputs. A demultiplexer (mux) is also a digital switch. A demultiplexer performs the opposite function of a multiplexer.

ECE Digital System Design24 Demultiplexer: 1-to-4 I s1s1 s0s0 O0O0 O1O1 O2O2 O3O S1S1 S0S0 O0O0 O1O1 O2O2 O3O3 00I I I I O 0 = S 1 '.S 0 '.I O 1 = S 1.S 0 '.I O 2 = S 1 '.S 0.I O 3 = S 1.S 0.I