TRAMS PROJECT TERASCALE RELIABLE ADAPTIVE MEMORY SYSTEMS FP7 248789 Y1 EC Review Meeting April 12 th 2011 FIRST YEAR PROJECT REVIEW MEETING Leuven, April.

Slides:



Advertisements
Similar presentations
1 GEOSS Monitoring & Evaluation. 2 1st GEOSS M&E WG meeting outcomes Agreed on 1.the final draft of Terms of Reference for the M&E WG 2.The plan for delivery.
Advertisements

Researchers nights Information Day Colette RENIER Research Executive Agency FP7-PEOPLE-2010-NIGHT INFORMATION DAY Brussels, 12 November.
Information and Communication Technologies (ICT) in the Seventh Framework Programme Large-scale integrating projects (IPs)
Introduction to the TRAMS project objectives and results in Y1 Antonio Rubio, Ramon Canal UPC, Project coordinator CASTNESS’11 WORKSHOP ON TERACOMP FET.
University of Trieste PHD school in Nanotechnology Writing a proposal … with particular attention to FP7 Maurizio Fermeglia.
0 DOD/DT/CEDCV – 20 th & 21 st January Paris meeting SAGEM RTD Activities C2-Sense project Paris – 20 & 21 January 2015.
PERFORMER WP2 INTRODUCTION 13th of September 2013 CSTB Sophia Antipolis, France.
Enabling Access to Sound Archives through Integration, Enrichment and Retrieval WP1. Project Management.
LONG: Laboratories Over Next Generation Networks. Project Description & WP1: Management.
Episode 3 / CAATS II joint dissemination event Lessons Learnt Episode 3 - CAATS II Final Dissemination Event Philippe Leplae EUROCONTROL Episode 3 Brussels,
TITLE OF PROJECT PROPOSAL NUMBER Principal Investigator PI’s Organization ESTCP Selection Meeting DATE.
Workshops for implementing the Strategic Plan for Biodiversity through the National Biodiversity Strategies and Action Plans Module 2 The Biodiversity.
Multilevel Approach to the Reliability-Aware Design of Analog and Digital Integrated Circuits (MARAGDA) TEC C3-R Kick off meeting Bellaterra,
INGAS General Assembly, Oulu, 25&26 May 2011 INGAS INtegrated GAS Powertrain 1 INGAS 30 months meeting Oulu, 25&26 of May 2011 General Assembly Coordinator.
Evidence based policy making Seminar FP7 Work Programme December 2010, Paris, Université Paris Dauphine Maria Geronymaki DG INFSO.H.2 ICT for.
Project Management and Scheduling
International Accelerator Facility for Beams of Ions and Antiprotons at Darmstadt FAIR EU Design Study 4th Annual Report meeting February 12, 2009 J. Eschke,
3rd Phase: 3rd Phase: PROJECT ORGANISATION Plan  Implementation  Recruit staff and organize project team members  Assign responsibility for Work packages.
Audit Committee 14 April 2011 Project Management: Appendix 1 Presentation to Members.
2 nd Steering Committee Meeting October 2008, Athens and Aegina.
AICT5 – eProject Project Planning for ICT. Process Centre receives Scenario Group Work Scenario on website in October Assessment Window Individual Work.
Kick-Off Meeting Catania – February 26, 2010 THERMINATOR Modeling, Control and Management of Thermal Effects in Electronic Circuits of the Future.
FP OntoGrid: Paving the way for Knowledgeable Grid Services and Systems WP8: Use case 1: Quality Analysis for Satellite Missions.
1 Framework Programme 7 Guide for Applicants
Chapter 2 The process Process, Methods, and Tools
Mantychore Oct 2010 WP 7 Andrew Mackarel. Agenda 1. Scope of the WP 2. Mm distribution 3. The WP plan 4. Objectives 5. Deliverables 6. Deadlines 7. Partners.
1 The Initial Report Preparation Guidelines. 2 The Initial Report u Definition of project scope u Project aims and objectives u Initial project plan.
Professional Certificate – Managing Public Accounts Committees Ian “Ren” Rennie.
Technology Strategy Board Driving Innovation Participation in Framework Programme 7 Octavio Pernas, UK NCP for Health (Industry) 11 th April 2012.
EPOCA – 11. June EPOCAConsortiumOrganisation.
Product Documentation Chapter 5. Required Medical Device Documentation  Business proposal  Product specification  Design specification  Software.
1 THE CITY OF TOMORROW AND CULTURAL HERITAGE Thierry PROST EUROPEAN COMMISSION - DG RTD Directorate I - Preserving the Ecosystem Tel: +32/2/ Fax:
Project Reporting for the EuCARD Integrating Activity Project Based on: - Provisions of the Grant Agreement (GA) and its annexes - FP7 Guide on Project.
ISM 5316 Week 3 Learning Objectives You should be able to: u Define and list issues and steps in Project Integration u List and describe the components.
MODERN 1 st Year Review ENIAC MODERN Ref. Technical Annex MODERN_PartB Rev2 v2.4 WP1: Giuliana GangemiWP2: André Juge WP3: Wilmar HeuvelmanWP4:
“Thematic Priority 3” Draft Evaluation of IP + NoE.
Environmental Management System Definitions
1 Introduction to Software Engineering Lecture 1.
HiLumi LHC is co-funded by the EU FP7 Capacities Programme, Grant Agreement Svet Stavrev (EU Projects Office, CERN) Administrative Manager 17.
HLRF DRAFT Global Design Effort 1 Defining EDR* Work Packages [Engineering Design Report] Ray Larsen SLAC ILC Division for HLRF Team DRAFT April.
LEONARDO TRANSFER OF INNOVATION PROJECT “MEDIA TECH: The future of media industry using innovative technologies ” No. LLP-LdV-ToI-11-CY Kick-off.
CLIC Implementation Studies Ph. Lebrun & J. Osborne CERN CLIC Collaboration Meeting addressing the Work Packages CERN, 3-4 November 2011.
Testability of Analogue Macrocells Embedded in System-on-Chip Workshop on the Testing of High Resolution Mixed Signal Interfaces Held in conjunction with.
Enabling Access to Sound Archives through Integration, Enrichment and Retrieval Annual Review Meeting - Introduction.
Introducing Project Management Update December 2011.
MANISH GUPTA. Presentation Outline Introduction Motivation Content Expected Impact Funding Schemes & Budget.
S 1 Annual Meeting 2013 Management and reporting (WP6) Chiara Bearzotti NACLIM project manager.
Gabriela Macoveiu North-East RDA, Romania PP11 – WP responsible Cluster Policy Learning Platform WP3 Description Smarter Cluster Policies for South-East.
WP4 – Cloud Platform & Provisioning Technical Review Period 1 This document produced by Members of the Helix Nebula consortium is licensed under a Creative.
TRAMS PMB Meeting, Barcelona, 12 th November 2012 TRAMS: Terascale Reliable Adaptive Memory Systems Workpackage 1.4 Si-Yu Liao, Ewan Towie, Craig Riddet,
Company LOGO. Company LOGO PE, PMP, PgMP, PME, MCT, PRINCE2 Practitioner.
GEO Implementation Mechanisms Giovanni Rum, GEO Secretariat GEO Work Programme Symposium Geneva, 2-4 May 2016.
WP9– Evaluation, roadmap & development plan This document produced by Members of the Helix Nebula consortium is licensed under a Creative Commons Attribution.
Info-Tech Research Group1 Info-Tech Research Group, Inc. Is a global leader in providing IT research and advice. Info-Tech’s products and services combine.
> 1 ACP S&T Grant Contract N° FED/2009/ Introducing WP6 Monitoring & Quality control Objectives, actions, procedures Dr Sarah Bracking.
Coordinators' day on FP7 Project Negotiation Description Of Work Annex I Griet Van Caenegem DG CNECT R5 Programme Operations May 28, 2013.
FISCO2 – Financial and Scientific Coordination Work Package dedicated to ENSAR2 management WP leader: Ketel Turzó WP deputy: Sandrine Dubromel ENSAR2 Management.
ICT Smartcities 2013 FP7-SMARTCITIES-2013 WP6 – Project Management OPTIMising the energy USe in cities with smart decision support system (OPTIMUS) Objective.
Brussels, January 16th, Overview and status of the project.
Principal Investigator ESTCP Selection Meeting
Principal Investigator ESTCP Selection Meeting
INDUSTRIAL ROBOTICS PROGRAMME White Paper Presentation Programme Manager:
FP7 SCIENTIFIC NEGOTIATIONS Astrid Kaemena European Commission
FP7 SCIENTIFIC NEGOTIATIONS
Information session SCIENTIFIC NEGOTIATIONS Call FP7-ENV-2013-two-stage "Environment (including climate change)" Brussels 22/05/2013 José M. Jiménez.
Information session SCIENTIFIC & TECHNICAL NEGOTIATIONS Call FP7-ENV-2013-WATER-INNO-DEMO "Environment (including climate change)" Brussels 24/06/2013.
Good practice in preparing an application
Principal Investigator ESTCP Selection Meeting
Field monitoring Project (number and title)
Principal Investigator ESTCP Selection Meeting
Presentation transcript:

TRAMS PROJECT TERASCALE RELIABLE ADAPTIVE MEMORY SYSTEMS FP Y1 EC Review Meeting April 12 th 2011 FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011

FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 AGENDA 1. Introduction Project management & organization (WP6)11.30 Lunch Detailed review of technical Work Packages (WP1-WP4) Dissemination and exploitation of results (WP5) Q&A Breakdown Conclusions17.30 Closing18.00

Power point presentations FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 IN public/me.com/rubio2010 Intranet of the project “Reviewers area”

FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 AGENDA 1. Introduction Project management & organization (WP6)11.30 Lunch Detailed review of technical Work Packages (WP1-WP4) Dissemination and exploitation of results (WP5) Q&A Breakdown Conclusions17.30 Closing18.00

FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th Introduction (45’+15’) 1.1 Welcome and agenda presentation 1.2 General Project Objectives and Milestones 1.3 Modifications during Y1 respect original DoW 1.4 Milestones and deliverables 1 st year 1.5 Use of resources Y1 1.6 Work plan summary for the 2 nd year 1.7 Modifications respect original DoW for 2 nd and 3 rd years

FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th Introduction 1.1 Welcome and agenda presentation 1.2 General Project Objectives and Milestones 1.3 Modifications during Y1 respect original DoW 1.4 Milestones and deliverables 1 st year 1.5 Use of resources Y1 1.6 Work plan summary for the 2 nd year 1.7 Modifications respect original DoW for 2 nd and 3 rd years

FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th Introduction 1.1 Welcome and agenda presentation 1.2 General Project Objectives and Milestones 1.3 Modifications during Y1 respect original DoW 1.4 Milestones and deliverables 1 st year 1.5 Use of resources Y1 1.6 Work plan summary for the 2 nd year 1.7 Modifications respect original DoW for 2 nd and 3 rd years

Motivation: Research on Memory technologies for terascale multicore microprocessors FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 MOTIVATION Memories are a key technology driver in semiconductor industry, having an extremely important role in terascale multicore microprocessors In such systems cores and memory may exhibit high failure rates due to process variations and devices degradation mechanisms.

FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 Pictures from Intel Corporation MOTIVATION

Motivation FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011

1.2 General project and objectives FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 Objectives of the TRAMS project To investigate the impact of statistical variability and reliability of near and beyond the end of the ITRS devices on Terabit memory design. (Sub-16nm bulk (18,13nm) CMOS,Sub 10nm Finfet, 15nm III-V/Ge and CNT) (WP1-WP2) To design,implement, deploy and assess compensating techniques and countermeasures at circuit and microarchitectural level for memories used in multicore processors (WP3-WP4) To develop a methodology for specifying and implementing performance-, power- and reliability –aware reconfiguration policies for multicore processor. (WP4)

1.2 General project and objectives FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 THE CONSORTIUM

1.2 General project and objectives FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011

1.2 General project and objectives FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th TECHNICAL WPs, 15 TASKS

1.2 General project and objectives FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 WORK PACKAGE 1 (WP1) TitlePDK for end of roadmap transistors in the presence of statistical variability and reliability 81 PMs LeaderUOG (71)Other participants: UPC (6), Imec (4) Objectives To design the bulk, FinFET, III/V and CNT devices To extract nominal compact models for them To consider the initial variability and the aging To extract statistical compact models of fresh and degraded devices TasksT1.1. Design of the devices. Nominal compact models. T1.2 Extraction of statistical compact models for fresh devices. T1.3 Extraction of statistical reliability compact models for degraded devices T1.4 Extraction of reliability injectors Deliverables:D1.1 (bulk), D1.2 (CNT), D1.3 (FinFET), D1.4 (III-V/Ge)

1.2 General project and objectives FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 WORK PACKAGE 2 (WP2) TitleModelling and analysis of faulty components at circuit level. 45 PMs LeaderImec (30.5)Other participants: UPC (11.5), UOG (3) Objectives To develop a method for a prototype tool flow for Variability and Reliability –aware modelling of SRAM blocks for the different technologies. TasksT2.1 Variability and Reliability modelling toolflow for bulk. T2.2 Variability modelling toolflow for FinFET SRAM blocks. T2.3 Towards III-V/Ge, CNT and other Emerging Research Devices Deliverables:D2.1 (bulk), D2.2 (FinFETs), D2.3 (III/V and CNT)

1.2 General project and objectives FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 WORK PACKAGE 3 (WP3) TitleHardware countermeasures at the circuit level105 PMs LeaderUPC (86)Other participants: Imec (13), Intel (6) Objectives Research on the effect of environmental and process variability on the performances of the memory systems Mitigating mechanisms and strategies. Compensating and redundant structures Method and prototype tool flow for run-time monitoring. TasksT3.1 Mitigation of the impact of variability. Redundant circuits. T3.2 Countermeasure techniques based on environmental variables, on compensation and reconfiguration mechanisms T3.3 Prototype toolflow for run-time switchable buffer insertion and Reconfiguration. Deliverables:D3.1, D3.2, D3.3, D3.4, D3.5, D3.6

1.2 General project and objectives FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 WORK PACKAGE 4 (WP4) TitleHybrid countermeasures at the micro-architectural and system level 130 PMs LeaderIntel (65)Other participants: UPC (53), Imec (12) Objectives To develop mixed run-time methodologies to predict memory faulty behaviour. To develop run-time methodologies to identify program memory requirements in terms of correctness, performance and power. To develop run-time decision mechanisms for best memory configuration. TasksT4.1 Dynamic characterization of memory and applications. T4.2 Memory reconfiguration. T4.3 Hybrid system scenario countermeasures. T4.4 Global Micro-controller Deliverables:D4.1, D4.2, D4.3, D4.4

1.2 Summary of staff effort FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th % 11 % 27 % 33 % 5%5% 5%5% 3%3% 3%3% 43% 16% 20%

1.2 Milestones (as in the DoW) FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 M0M12M24M36 MS0 web MS1 v&r for bulk CMOS MS3 v&r FinFET MS4 reconfiguration MS5 v&r III/V MS6 v&r CNT MS7 Countermeasures circuit level MS8 Countermeasures Micro-arch. level MS9 Final dissemination report

Conceptual flow of the project (from DoW work planning) FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 WP1 WP2 WP3 WP4 WP5 Y1Y1 Y2 Y3 PDK x V&R sub 16nm bulk MOSFET idem x FinFET idem x CNT idem x III-V/Ge V&R modelling x bulk CMOS SRAM V&R modelling x FinFET SRAM Towards V&R evaluation x III-V/Ge, CNT and ERD Effect of PTV on different memory cells performance Countermeasure techniques against V&R: Mitigation, Redundancy, Compensation, Reconfiguration, Monitor insertion Dynamic characterization Memory reconfiguration, countermeasures Global MIcrocontroller WEB Dissemination Milestone 2 Milestone 3Milestone 6Milestone 5 Milestone 4 Milestone 7 Milestone 8 Milestone 1 Milestone 9 Device Modelling and analysis methods Cell and circuit level Microarchitecture and system level

FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th Introduction 1.1 Welcome and agenda presentation 1.2 General Project Objectives and Milestones 1.3 Modifications during Y1 respect original DoW 1.4 Milestones and deliverables 1 st year 1.5 Use of resources Y1 1.6 Work plan summary for the 2 nd year 1.7 Modifications respect original DoW for 2 nd and 3 rd years

1.3 Modifications during Y1 respect original DoW FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th modifications no change of objectives no change of milestones Modification of title in deliverable D2.1 Modification work period in T2.2 Exchange of two deliverables in WP3

1.3 Modifications during Y1 respect original DoW FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 Description modification 1 (Title of D2.1) D2.1: Report on method for a tool flow for Variability and Reliability modeling for bulk CMOS SRAM blocks. (IMEC) T0+12M Justification Task description refers to a method yet the title method for tool flow may be understood as the expected outcome is a tool while this is not the case. Change ensures correct interpretation

1.3 Modifications during Y1 respect original DoW FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 Description modification 2 (T2.2 period) Justification FinFET research in WP1 is concentrated on Y2. Task 2.2: Variability modelling toolflow for FinFET SRAM blocks. [Task Leader: IMEC (10 pms), Duration: [M313-M1824]

Conceptual flux of the project (from DoW work planning) FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 WP1 WP2 WP3 WP4 WP5 Y1Y1 Y2 Y3 PDK x V&R sub 16nm bulk MOSFET = x FinFET = x CNT = x III-V/Ge V&R modelling x bulk CMOS SRAM V&R modeling x FinFET SRAM Towards V&R evaluation x III-V/Ge, CNT and ERD Effect of PTV on different memory cells performance Countermeasure techniques against V&R: Mitigation, Redundancy, Compensation, Reconfiguration, Monitor insertion Dynamic characterization Memory reconfiguration, countermeasures Global MIcrocontroller WEB Dissemination Milestone 2 Milestone 3Milestone 5Milestone 6 Milestone 4 Milestone 7 Milestone 8 Milestone 1 Milestone 9 Device Modeling and analysis methods Cell and circuit level Microarchitecture and system level

1.3 Modifications during Y1 respect original DoW FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 Description modification 3 (WP3 deliverable order) Justification D3.6 corresponds to the analysis of environmental and process variations. It is necessary to have this analysis before to consider mitigation and countermeasures research. This decision fits with the description of milestone 2 (M12) (v&r analysis for bulk CMOS), and D3.6 pertains to T3.1 that finished M24! D3.6 initially scheduled for M36  M12 D3.1 initially scheduled for M12  M24

Conceptual flux of the project (from DoW work planning) FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 WP1 WP2 WP3 WP4 WP5 Y1Y1 Y2 Y3 PDK x V&R sub 16nm bulk MOSFET = x FinFET = x CNT = x III-V/Ge V&R modelling x bulk CMOS SRAM V&R modeling x FinFET SRAM Towards V&R evaluation x III-V/Ge, CNT and ERD Effect of PTV on different memory cells performance Countermeasure techniques against V&R: Mitigation, Redundancy, Compensation, Reconfiguration, Monitor insertion Dynamic characterization Memory reconfiguration, countermeasures Global MIcrocontroller WEB Dissemination Milestone 2 Milestone 3Milestone 5Milestone 6 Milestone 4 Milestone 7 Milestone 8 Milestone 1 Milestone 9 Device Modeling and analysis methods Cell and circuit level Microarchitecture and system level

Conceptual flux of the project FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 WP1 WP2 WP3 WP4 WP5 Y1Y1 Y2 Y3 PDK x V&R sub 16nm bulk MOSFET = x FinFET = x CNT = x III-V/Ge V&R modelling x bulk CMOS SRAM V&R modeling x FinFET SRAM Towards V&R evaluation x III-V/Ge, CNT and ERD Effect of PTV on different memory cells performance Countermeasure techniques against V&R: Mitigation, Redundancy, Compensation, Reconfiguration, Monitor insertion Dynamic characterization Memory reconfiguration, countermeasures Global MIcrocontroller WEB Dissemination Milestone 2 Milestone 1 Device Modeling and analysis methods Cell and circuit level Microarchitecture and system level D3.1 D3.6 D3.1

FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th Introduction 1.1 Welcome and agenda presentation 1.2 General Project Objectives and Milestones 1.3 Modifications during Y1 respect original DoW 1.4 Milestones and deliverables 1 st year 1.5 Use of resources Y1 1.6 Work plan summary for the 2 nd year 1.7 Modifications respect original DoW for 2 nd and 3 rd years

1.4 Milestones (as the DoW) FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 M0M12M24M36 MS0 web MS1 v&r for bulk CMOS MS3 v&r FinFET MS4 reconfiguration MS5 v&r III/V MS6 v&r CNT MS7 Countermeasures circuit level MS8 Countermeasures Micro-arch. level MS9 Final dissemination report ✔ ✔

1.4 Milestones FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 M0M12 MS0 web MS1 v&r analysis for bulk CMOS ✔ ✔ D5.1 (M6) D1.1 (M12) D2.1 (M12) D3.6 (M12)* D4.1 (M12) D5.2 (M12) D6.1 (M12)

1.4 Deliverables for Y1 period FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 MSWPDescription MS0WP5D5.1 TRAMS project website description MS1WP1D1.1 PDK for sub 16nm technology bulk MOSFETs including statistical variability and reliability MS1WP2D2.1 Report on method for variability and reliability modelling for bulk CMOS SRAM blocks MS1WP3D3.6 Report on the effect of environmental and process variations on the variability of memory cells and systems MS1WP4D4.1 Report on the different phase identifier mechanisms MS1WP5D5.2 Dissemination, use plan and joint collaborative actions MS1WP6D6.1 Progress report and cost statement Y1

FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th Introduction 1.1 Welcome and agenda presentation 1.2 General Project Objectives and Milestones 1.3 Modifications during Y1 respect original DoW 1.4 Milestones and deliverables 1 st year 1.5 Use of resources Y1 1.6 Work plan summary for the 2 nd year 1.7 Modifications respect original DoW for 2 nd and 3 rd years

1.5 Use of resources Y1 FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th % 26.6 % 40 % 40 % 16.2 % 22.7 % 34.6 % 24.7 % 34.3% 22.9% 18.9% 11.5%

FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th Introduction 1.1 Welcome and agenda presentation 1.2 General Project Objectives and Milestones 1.3 Modifications during Y1 respect original DoW 1.4 Milestones and deliverables 1 st year 1.5 Use of resources Y1 1.6 Work plan summary for the 2 nd year 1.7 Modifications respect original DoW for 2 nd and 3 rd years

1.4 Milestones FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 M0M12M24M36 MS0 web MS1 v&r for bulk CMOS MS3 v&r FinFET MS4 reconfiguration MS5 v&r III/V MS6 v&r CNT MS7 Countermeasures circuit level MS8 Countermeasures Micro-arch. level MS9 Final dissemination report ✔ ✔ ★ ★ ★ ★ ★

1.5 Work plan summary for the second year FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 Y2 plan of work All task actives WPDescription WP1PDK x CNT tech. (D1.2), PDK x FinFETs (D1.3) WP2Method for V & R modelling in FinFET SRAM blocks (D2.2) WP3Mitigation mechanisms (D3.1) Improving reliability through redundancy D(3.2) Mechanisms to detect latency of SRAM D(3.3) Compensation/Reconfiguration mechanisms D(3.4) WP4Identifier mechanisms (D4.1_2) Reconfiguration mechanisms (D4.2) WP5Dissemination, use plan and joint collaborative actions (D5.3) WP6Progress report and cost statement of year 2 (D6.2) WP

FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th Introduction 1.1 Welcome and agenda presentation 1.2 General Project Objectives and Milestones 1.3 Modifications during Y1 respect original DoW 1.4 Milestones and deliverables 1 st year 1.5 Use of resources Y1 1.6 Work plan summary for the 2 nd year 1.7 Modifications respect original DoW for 2 nd and 3 rd years

Proposed amendments for DoW FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 Proposed amendments (including modifications Y1) No change of objectives No modification of milestones and schedule of the project No modification of work plan Inclusion of a new deliverable with demonstration in WP4

Proposed amendments for DoW FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 Amendments in WP1 (2)

Proposed amendments for DoW FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 Description modification WP1 1 (D1.3 due date) Justification This is required as the results of D1.3 (PDK for sub 16 nm technology FinFET transistors including statistical variability and statistical reliability) are a necessary input to Task T2.2. Move due date D1.3 (FinFET) from M27 to M18

Proposed amendments for DoW FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 Description modification WP1 2 (D1.2 due date) Justification Balanced distribution of work in WP1, and D1.2 will include the analysis of v&r for 6T and 3T1D cells. Move due date D1.2 (CNT) from M18 to M24

Proposed amendments for DoW FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 Amendments in WP2 (4)

Proposed amendments for DoW FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 Description modification WP2 1 (title of D2.1 and D2.2 ) Justification Task description refers to a method yet the title method for tool flow may be understood as the expected outcome is a tool while this is not the case. Change ensures correct interpretation D2.1: Report on method for a tool flow for Variability and Reliability modeling for bulk CMOS SRAM blocks. D2.2: Report on method for a prototype tool flow for Variability modeling forFinFET SRAM blocks. amendment from Y1

Proposed amendments for DoW FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 Description modification WP2 2 (Move T2.2 and D2.2) Justification D2.2 ends on M18 and should end on M24 because T2.2 ends on M24 it consumes output from D1.3 that ends on M18 Task 2.2: Variability modelling toolflow for FinFET SRAM blocks. [Task Leader: IMEC (10 pms), Duration: [M313-M1824] D2.2: Report on method for Variability modeling forFinFET SRAM blocks. T M amendment from Y1

Proposed amendments for DoW FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 Description modification WP2 3 (Moving 4PMs from T2.3 to T4.4) Justification Imec moves 4PM from T2.3 to T4.4 to reinforce a demo (new deliverable D4.5) Imec will focus on assessment of III-V technologies and benchmarking against Si under nominal process conditions UPC keeps with CNT and ERD Task 2.3: Towards III-V/Ge, Carbon NanoTubes (CNT) and other Emerging Research Devices. [Task Leader: UPC (11.5 pms), Contribution: IMEC (8.54.5pm) Duration: M18-M36]

Proposed amendments for DoW FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 Detailed explanation Motivation for move of 4PM from T2.3 to T4.4, clarification of impact on the respective tasks: "Imec needs to reinforce its effort in T4.4 for a demo. To accommodate for the effort increase in T4.4, imec proposes to move 4PM from T2.3 to T4.4. At the same time, the scope of imec work in T2.3, which was not concreted in the original DoW because the activity was considered to be of high risk (see DoW), it can be however now fully defined. At present, imec has already concreted its technical contribution within T2.3 in such way that the proposed technical work addresses the overall objectives of WP2 while these can be achieved within the remaining imec effort in the task (4.5PM). This way, the overall imec effort in the project remains unchanged, hence there is no impact on the requested funding while the overall project objectives remain guaranteed."

Proposed amendments for DoW FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 Description modification WP2 4 (Moving 3PMs from T2.1 to T2.2) Justification UoG has previously done statistical SRAM analysis based on full statistical bulk MOSFET simulations and feel it would provide more value and novelty to this project to investigate the use of FinFETs, using the SRAM netlist generated by IMEC in T2.2. UoG would like to move the 3PM allocated to T2.1 (Variability and Reliability modelling toolflow for bulk CMOS SRAM blocks) to T2.2 (Variability modelling toolflow for FinFET SRAM blocks).

Proposed amendments for DoW FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 Amendments in WP3 (3)

Proposed amendments for DoW FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 Description modification WP3 1 (WP3 deliverable order) Y1 Description modification WP3 1 (WP3 deliverable order) Y1 Justification D3.6 corresponds to the analysis of environmental and process variations. It is necessary to have this analysis before to consider mitigation and countermeasures research. This decision fits with the description of milestone 2 (M12) (v&r analysis for bulk CMOS) D3.6 initially scheduled for M36  M12 D3.1 initially scheduled for M12  M24 amendment from Y1

Proposed amendments for DoW FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 Description modification WP3 2 (Transfer 18PMs from T3.3 to T3.2) Justification T3.3 as described in DoW describes two different areas: research on a timing monitor insertion technique (Imec 13PMs) research on reconfiguration mechanisms (circuit level) (UPC 18 PMs) Reconfiguration is a mechanism to compensate aging so it is more reasonable in T3.2, so it is a countermeasure No modification of deliverables content, title, schedule Movement of 18PMs from UPC in T3.3 to T3.2

Proposed amendments for DoW FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 Description modification WP3 3 (Change timing in T3.3 and advance due date D3.5) Task 3.3: Prototype Toolflow for run-time switchable buffer insertion and reconfiguration in SRAMs Design Flow for timing monitor insertion for runtime monitoring of an ASIC during synthesis. [Task Leader: IMEC (13 pms), Contribution: UPC (18 pms). Duration: M1213-M3624]. With changes in the description to make explicit the focus on monitor insertion D3.5: Report on the method toolflow that instantiates the SKM monitor insertion in SRAMs ASICs descriptions. (IMEC) T0+(M36)24 Timing: for deliverable and task are advanced UPC moves work on reconfiguration to T3.2 Imec remains with 13PM Imec will focus on monitors (complementary), already communicated in Brussels meeting with EC Similar to WP2 with an effort of approx 1PY/Y/deliverable imec prefers to focus task T3.3 and its associated deliverable D3.5 in Y2 Justification

Proposed amendments for DoW FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 Justification UPC moves work on reconfiguration to T3.2 Imec remains with 13PM Imec will focus on monitors (complementary), already communicated in Brussels meeting with EC Similar to WP2 with an effort of approx 1PY/Y/deliverable imec prefers to focus task T3.3 and its associated deliverable D3.5 in Y2

Proposed amendments for DoW FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 Amendments in WP4 (1)

Proposed amendments for DoW FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 Description modification WP4 1 (New deliverable) Justification Reinforce evaluation, impact and dissemination of results will be much better Request: add a new deliverable (D4.5) We will prepare a demo showing the most promising results from WP4 in real hardware based on Intel SCC Change: IMEC requests extra 4PMs to be allocated to T4.4 for that purpose

Proposed amendments for DoW FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 Amendments in WP6 (1)

Proposed amendments for DoW FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 Description modification WP6 1 (PTC introduction) Justification The concept was introduced at the creation of the Consortium Agreement. The PTC is responsible of the technical decisions, with meetings each four months. Formed by 2 members from each institution. No role conflicts with PMB functions described in the Management section. Introduction of the Project Technical Committee in the Project Management Structure

Conclusions FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011 The objectives of the TRAMS project have a continued relevance with respect to the scientific state of the art and industrial requirements. The utilized resources agree with the planned and the achieved progress A set of minor modifications (task and deliverable title and date changes) has been proposed for the 3 years, the result is a smoother project flux. TRAMS objectives are key in the development of aggressively scaled systems beyond The proposed v&r solutions will enable integrated circuit progress to continue.

FIRST YEAR PROJECT REVIEW MEETING Leuven, April 12 th 2011