George Mason University ECE 449 – Computer Design Lab Welcome to the ECE 449 Computer Design Lab Spring 2004.

Slides:



Advertisements
Similar presentations
George Mason University FPGA Design Flow ECE 448 Lecture 9.
Advertisements

ECE 447: Course Organization Instructor:Kris Gaj, S&T II, Room 223 Office hours: T, R 4:30-5:30 PM Lab assistants: Thuy-Tien Nguyen (M)
FPGA Devices & FPGA Design Flow
Arbitrary Waveform Discussion 5.5 Example 34.
CSE 378 Computer Hardware Design Prof. Richard E. Haskell – –Tel: –Web site: Follow.
Top-level VHDL Designs
Introduction to VHDL VHDL Tutorial R. E. Haskell and D. M. Hanna T1: Combinational Logic Circuits.
CSE 670 Embedded System Design Using FPGAs Prof. Richard E. Haskell 115 Dodge Hall.
6/27/20061 Sequence Detectors Lecture Notes – Lab 5 Sequence detection is the act of recognizing a predefined series of inputs A sequence detector is a.
6/12/20151 Sequence Detectors Lecture Notes – Lab 4 Sequence detection is the act of recognizing a predefined series of inputs A sequence detector is a.
02/02/20091 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
1/31/20081 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
4-to-1 Multiplexer: case Statement Discussion D2.3 Example 6.
CSE 378 Computer Hardware Design Prof. Richard E. Haskell – –Tel: –Web site: Follow.
Algorithmic State Machine (ASM) Charts
George Mason University ECE 448 – FPGA and ASIC Design with VHDL Finite State Machines State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts,
Simple Testbenches Behavioral Modeling of Combinational Logic
CSE 378 Computer Hardware Design Prof. Richard E. Haskell – –Tel: –Web site: Follow.
ECE 448 FPGA and ASIC Design with VHDL
Digital System Design EEE344 Lecture 1 INTRODUCTION TO THE COURSE
ECE 448: Spring 12 Lab 4 – Part 2 Finite State Machines Basys2 FPGA Board.
EENG 2910 – Digital Systems Design Fall Course Introduction Class Time: M9:30am-12:20pm Location: B239, B236 and B227 Instructor: Yomi Adamo
ECE 332 Digital Electronics and Logic Design Lab Lab 5 VHDL Design Styles Testbenches.
ECE 448 FPGA and ASIC Design with VHDL Spring 2008.
Data Flow Modeling of Combinational Logic Simple Testbenches
ECE 448 FPGA and ASIC Design with VHDL
EL 3101 EL310 Hardware Description Languages Spring 2015 Instructor: Ilker Hamzaoglu Teaching Assistant: Ercan Kalalı Web Site:
TO THE COURSE ON DIGITAL DESIGN FOR INSTRUMENTATION TO THE COURSE ON DIGITAL DESIGN FOR INSTRUMENTATION.
ECE 449: Computer Design Lab Coordinator: Kris Gaj TAs: Tuesday session: Pawel Chodowiec Thursday session: Nghi Nguyen.
ECE 448 FPGA and ASIC Design with VHDL Spring 2010.
George Mason University ECE 545 – Introduction to VHDL ECE 545 Lecture 5 Finite State Machines.
Welcome to the ECE 449 Computer Design Lab Spring 2005.
ECE 448: Spring 11 Lab 3 Part 1 Sequential Logic for Synthesis.
George Mason University ECE 449 – Computer Design Lab Introduction to FPGA Devices & Tools.
George Mason University ECE 449 – Computer Design Lab Welcome to the ECE 449 Computer Design Lab Spring 2005.
ECE Single-Chip Microcomputers Organization Course designed by Professor Kenneth J. Hintz Instructor:Jason Bales S&T II, Room 235
ECE 448 FPGA and ASIC Design with VHDL Spring 2011.
ECE 545 Lecture 7 FPGA Design Flow.
George Mason University Finite State Machines State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code ECE 448 Lecture 6.
Reaction Timer Project
ECE 447: Course Organization Course designed by: Professor Kenneth J. Hintz Instructor:Jason M Bales, S&T II, Room 235 (703)
George Mason University ECE 448 FPGA and ASIC Design with VHDL FPGA Design Flow ECE 448 Lecture 7.
Introduction to FPGA Tools
ECE 448 FPGA and ASIC Design with VHDL
Copyright (c) 2003 by Valery Sklyarov and Iouliia Skliarova: DETUA, IEETA, Aveiro University, Portugal.
George Mason University FPGA Design Flow ECE 545 Lecture 10.
CEC 220 Digital Circuit Design Wednesday, January 7 CEC 220 Digital Circuit Design Slide 1 of 12.
04/26/20031 ECE 551: Digital System Design & Synthesis Lecture Set : Introduction to VHDL 12.2: VHDL versus Verilog (Separate File)
CSE 378 Computer Hardware Design (Formerly, Design of Digital Systems) Prof. Richard E. Haskell – –Tel: –Web site:
ECE 448 FPGA and ASIC Design with VHDL
ECE 448 Lecture 6 Finite State Machines State Diagrams vs. Algorithmic State Machine (ASM) Charts.
ECE 448 FPGA and ASIC Design with VHDL Spring 2009.
George Mason University ECE 448 – FPGA and ASIC Design with VHDL FPGA Design Flow based on Aldec Active-HDL FPGA Board.
Hardware Description Languages ECE 3450 M. A. Jupina, VU, 2014.
Course web page: ECE 545 Introduction to VHDL ECE web page  Courses  Course web pages  ECE 545.
ECE 332 Digital Electronics and Logic Design Lab Lab 3 Introduction to Starter Kit ECE 332 George Mason University.
Algorithmic State Machine (ASM) Charts: VHDL Code & Timing Diagrams
1 Introduction to Engineering Spring 2007 Lecture 18: Digital Tools 2.
LAB #4 Xilinix ISE Foundation Tools VHDL Design Entry “A Tutorial”
ECE web page  Courses  Course web pages
Algorithmic State Machine (ASM) Charts: VHDL Code & Timing Diagrams
CprE / ComS 583 Reconfigurable Computing
ECE 448 Lecture 5 FPGA Devices
VHDL Introduction.
Sequential Logic for Synthesis Based on Aldec Active-HDL
ECE 448 Lecture 6 Finite State Machines State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code.
ECE 448 Lecture 6 Finite State Machines State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL code ECE 448 – FPGA and ASIC Design.
ECE 448 Lecture 6 Finite State Machines State Diagrams vs. Algorithmic State Machine (ASM) Charts.
Presentation transcript:

George Mason University ECE 449 – Computer Design Lab Welcome to the ECE 449 Computer Design Lab Spring 2004

2ECE 449 – Computer Design Lab Your TA – Tuesday session Milind M. Parelkar Office hours: Monday 11:00am-1:00pm, Room 203

3ECE 449 – Computer Design Lab Your TA – Thursday session Kamal Sayeed Office hours: Wednesday 7-9pm, Room 203

4ECE 449 – Computer Design Lab Lab meetings Time: Tuesday 4:30pm - 7:10pm Thursday 7:20pm - 10:00pm Venue: ST-II, Room 203 The first part of each meeting is reserved for a lecture given by the TA and the following hands-on session The second part of each meeting is reserved for the previous experiment demonstrations and the work on the new experiment

5ECE 449 – Computer Design Lab Course description This course provides practical experience in designing digital circuits using VHDL for design description and FPGA devices for final implementation. Students learn to write an RTL code suitable for logic synthesis. Students gain “hands on” experience in every step of the circuit development.

6ECE 449 – Computer Design Lab Design process (1) Design and implement a simple unit permitting to speed up encryption with RC5-similar cipher with fixed key set on 8031 microcontroller. Unlike in the experiment 5, this time your unit has to be able to perform an encryption algorithm by itself, executing 32 rounds….. Library IEEE; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity RC5_core is port( clock, reset, encr_decr: in std_logic; data_input: in std_logic_vector(31 downto 0); data_output: out std_logic_vector(31 downto 0); out_full: in std_logic; key_input: in std_logic_vector(31 downto 0); key_read: out std_logic; ); end AES_core; Specification (Lab Experiments) VHDL description (Your Source Files) Functional simulation Post-synthesis simulation Synthesis

7ECE 449 – Computer Design Lab Design process (2) Implementation Configuration Timing simulation On chip testing

8ECE 449 – Computer Design Lab Expectations Practical knowledge of digital system design using VHDL (ECE 331, ECE 332) Knowledge gained in the ECE 447 course on Single-Chip Microcomputers would be useful (particularly in the last experiment)

9ECE 449 – Computer Design Lab Lab policies Please refer to class website: ECE 449 Official Class Web Resources

10ECE 449 – Computer Design Lab Lab experiments (Part I, Individual) Combinational Logic – 7 Segment LED, etc. January 27, 29 Sequential Logic – Blinking LEDs (Simulation) February 3, 5 Sequential Logic – Blinking LEDs (Testing) February 10, 12 Finite State Machine – Sequence Detector February 17, 19 Finite State Machine – Pump Controller February 24, 26

11ECE 449 – Computer Design Lab Lab experiments (Part II, Dual) Programmable Pulse Generator March 16, 18 March 23, 25 VGA Signal Generator March 30, April 1 April 6, 8 Microcontroller Core April 13, 15 April 20, 22 April 27, 29

12ECE 449 – Computer Design Lab Grading Lab Experiments (Part I)30% Midterm exam35% March 2, 4 Lab Experiments (Part II)35%

13ECE 449 – Computer Design Lab Recommended Texts (1) Allen Dewey, Analysis and Design of Digital Systems with VHDL, 1997, PWS publishing, ISBN Sundar Rajan, Essential VHDL: RTL Synthesis Done Right Stephen Brown and Zvonko Vranesic, Fundamentals of Digital Logic with VHDL Design, McGraw-Hill © 2000 Edition: 1 ISBN:

14ECE 449 – Computer Design Lab Software ActiveHDL by Aldec used for design entry and simulation Synplify Pro by Synplicity used for logic synthesis Xilinx ISE by Xilinx Inc. used for implementation in Xilinx FPGA devices XESSTools by XESS used for testing and communication with the FPGA boards

15ECE 449 – Computer Design Lab Hardware XSA-100 boards with Xilinx Spartan 2 FPGA 2S100tq144

16ECE 449 – Computer Design Lab ?