26 th International Conference on VLSI January 2013 Pune,India Optimum Test Schedule for SoC with Specified Clock Frequencies and Supply Voltages Vijay.

Slides:



Advertisements
Similar presentations
Weighted Random and Transition Density Patterns for Scan-BIST Farhana Rashid* Vishwani D. Agrawal Auburn University ECE Department, Auburn, Alabama
Advertisements

VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects Sarangi et al Prateeksha Satyamoorthy CS
Minimum Energy CMOS Design with Dual Subthrehold Supply and Multiple Logic-Level Gates Kyungseok Kim and Vishwani D. Agrawal ECE Dept. Auburn University.
Low Power Design of CMOS Circuits Vishwani D. Agrawal James J. Danaher Professor ECE Dept., Auburn University, Auburn, AL Nov 19, 20091Agrawal: Low.
Leakage and Dynamic Glitch Power Minimization Using MIP for V th Assignment and Path Balancing Yuanlin Lu and Vishwani D. Agrawal Auburn University ECE.
Praveen Venkataramani Suraj Sindia Vishwani D. Agrawal FINDING BEST VOLTAGE AND FREQUENCY TO SHORTEN POWER CONSTRAINED TEST TIME 4/29/ ST IEEE VLSI.
Yuanlin Lu Intel Corporation, Folsom, CA Vishwani D. Agrawal
Minimum Dynamic Power CMOS Circuit Design by a Reduced Constraint Set Linear Program Tezaswi Raja Vishwani Agrawal Michael L. Bushnell Rutgers University,
Fall 06, Sep 19, 21 ELEC / Lecture 6 1 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic.
CMOS Circuit Design for Minimum Dynamic Power and Highest Speed Tezaswi Raja, Dept. of ECE, Rutgers University Vishwani D. Agrawal, Dept. of ECE, Auburn.
Dynamic Scan Clock Control In BIST Circuits Priyadharshini Shanmugasundaram Vishwani D. Agrawal
Polynomial-Time Algorithms for Designing Dual-Voltage Energy Efficient Circuits Master’s Thesis Defense Mridula Allani Advisor : Dr. Vishwani D. Agrawal.
Dual Voltage Design for Minimum Energy Using Gate Slack Kyungseok Kim and Vishwani D. Agrawal ECE Dept. Auburn University Auburn, AL 36849, USA IEEE ICIT-SSST.
True Minimum Energy Design Using Dual Below-Threshold Supply Voltages Kyungseok Kim and Vishwani D. Agrawal ECE Dept. Auburn University Auburn, AL 36849,
Externally Tested Scan Circuit with Built-In Activity Monitor and Adaptive Test Clock Priyadharshini Shanmugasundaram Vishwani D. Agrawal.
Aug 23, ‘021Low-Power Design Minimum Dynamic Power Design of CMOS Circuits by Linear Program Using Reduced Constraint Set Vishwani D. Agrawal Agere Systems,
Design of Variable Input Delay Gates for Low Dynamic Power Circuits
11/17/05ELEC / Lecture 201 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
May 14, ISVLSI 09 Algorithms for Estimating Number of Glitches and Dynamic Power in CMOS Circuits with Delay Variations Jins Davis Alexander Vishwani.
Copyright Agrawal, 2007 ELEC6270 Fall 07, Lecture 7 1 ELEC 5270/6270 Fall 2007 Low-Power Design of Electronic Circuits Gate-Level Power Optimization Vishwani.
Dec. 19, 2005ATS05: Agrawal and Doshi1 Concurrent Test Generation Auburn University, Department of Electrical and Computer Engineering Auburn, AL 36849,
Concurrent Test Generation Auburn University, Department of Electrical and Computer Engineering Auburn, AL 36849, USA Vishwani D. Agrawal Alok S. Doshi.
Spring 07, Feb 20 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Reducing Power through Multicore Parallelism Vishwani.
9/08/05ELEC / Lecture 51 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Spring 07, Jan 16 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Introduction Vishwani D. Agrawal James J. Danaher.
Priyadharshini Shanmugasundaram Vishwani D. Agrawal DYNAMIC SCAN CLOCK CONTROL FOR TEST TIME REDUCTION MAINTAINING.
Nov. 8, 001Low-Power Design Digital Circuit Design for Minimum Transient Energy Vishwani D. Agrawal Circuits and Systems Research Lab, Agere Systems (Bell.
9/20/05ELEC / Lecture 81 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
5/7/2007VTS'071 Delay Test Quality Evaluation Using Bounded Gate Delays Soumitra Bose Intel Corporation, Design Technology, Folsom, CA Vishwani D.
9/13/05ELEC / Lecture 61 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Fall 2006, Nov. 30 ELEC / Lecture 12 1 ELEC / (Fall 2006) Low-Power Design of Electronic Circuits Test Power Vishwani D.
May 28, 2003Minimum Dynamic Power CMOS1 Minimum Dynamic Power CMOS Circuits Vishwani D. Agrawal Rutgers University, Dept. of ECE Piscataway, NJ 08854
Jan 6-10th, 2007VLSI Design A Reduced Complexity Algorithm for Minimizing N-Detect Tests Kalyana R. Kantipudi Vishwani D. Agrawal Department of Electrical.
Copyright Agrawal, 2007 ELEC6270 Fall 07, Lecture 9 1 ELEC 5270/6270 Fall 2007 Low-Power Design of Electronic Circuits Test Power Vishwani D. Agrawal James.
Jan. 2007VLSI Design '071 Statistical Leakage and Timing Optimization for Submicron Process Variation Yuanlin Lu and Vishwani D. Agrawal ECE Dept. Auburn.
IC-SOC STEAC: An SOC Test Integration Platform Cheng-Wen Wu.
Fall 2006, Sep. 26, Oct. 3 ELEC / Lecture 7 1 ELEC / (Fall 2006) Low-Power Design of Electronic Circuits Dynamic Power:
Jan. 6, 2006VLSI Design '061 On the Size and Generation of Minimal N-Detection Tests Kalyana R. Kantipudi Vishwani D. Agrawal Department of Electrical.
Fall 06, Sep 14 ELEC / Lecture 5 1 ELEC / (Fall 2006) Low-Power Design of Electronic Circuits (Formerly ELEC / )
Copyright Agrawal & Srivaths, 2007 Low-Power Design and Test, Lecture 5 1 Low-Power Design and Test Gate-Level Power Optimization Vishwani D. Agrawal Auburn.
Threshold Voltage Assignment to Supply Voltage Islands in Core- based System-on-a-Chip Designs Project Proposal: Gall Gotfried Steven Beigelmacher 02/09/05.
Spring 07, Jan 30 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 SOC Test Scheduling Vishwani D. Agrawal James.
Jan 7, 2010Agrawal: Low Power CMOS Design1 Vishwani D. Agrawal James J. Danaher Professor ECE Dept., Auburn University, Auburn, AL
By Praveen Venkataramani Vishwani D. Agrawal TEST PROGRAMMING FOR POWER CONSTRAINED DEVICES 5/9/201322ND IEEE NORTH ATLANTIC TEST WORKSHOP 1.
Robust Low Power VLSI R obust L ow P ower VLSI Finding the Optimal Switch Box Topology for an FPGA Interconnect Seyi Ayorinde Pooja Paul Chaudhury.
Power-Aware SoC Test Optimization through Dynamic Voltage and Frequency Scaling Vijay Sheshadri, Vishwani D. Agrawal, Prathima Agrawal Dept. of Electrical.
DELAY INSERTION METHOD IN CLOCK SKEW SCHEDULING BARIS TASKIN and IVAN S. KOURTEV ISPD 2005 High Performance Integrated Circuit Design Lab. Department of.
Determining the Optimal Process Technology for Performance- Constrained Circuits Michael Boyer & Sudeep Ghosh ECE 563: Introduction to VLSI December 5.
Power Reduction for FPGA using Multiple Vdd/Vth
SoC TAM Design to Minimize Test Application Time Advisor Dr. Vishwani D. Agrawal Committee Members Dr. Victor P. Nelson, Dr. Adit D. Singh Apr 9, 2015.
An Efficient Algorithm for Dual-Voltage Design Without Need for Level-Conversion SSST 2012 Mridula Allani Intel Corporation, Austin, TX (Formerly.
SoC TAM Design to Minimize Test Application Time Huiting Zhang Vishwani D. Agrawal May 12, North Atlantic Test Workshop.
Optimal Selection of ATE Frequencies for Test Time Reduction Using Aperiodic Clock Sindhu Gunasekar Vishwani D. Agrawal.
PRAVEEN VENKATARAMANI VISHWANI D. AGRAWAL Auburn University, Dept. of ECE Auburn, AL 36849, USA 26 th International.
A 240ps 64b Carry-Lookahead Adder in 90nm CMOS Faezeh Montazeri Advanced VLSI Course Presentation University of Tehran December.
Low Power – High Speed MCML Circuits (II)
Robust Low Power VLSI ECE 7502 S2015 Minimum Supply Voltage and Very- Low-Voltage Testing ECE 7502 Class Discussion Elena Weinberg Thursday, April 16,
A Test Time Theorem and Its Applications Praveen Venkataraman i Suraj Sindia Vishwani D. Agrawal
Power Estimation and Optimization for SoC Design
VLSI Design & Embedded Systems Conference January 2015 Bengaluru, India Few Good Frequencies for Power-Constrained Test Sindhu Gunasekar and Vishwani D.
Tae- Hyoung Kim, Hanyong Eom, John Keane Presented by Mandeep Singh
Power Problems in VLSI Circuit Testing Keynote Talk Vishwani D. Agrawal James J. Danaher Professor Electrical and Computer Engineering Auburn University,
Characterizing Processors for Energy and Performance Management Harshit Goyal and Vishwani D. Agrawal Department of Electrical and Computer Engineering,
Unified Adaptivity Optimization of Clock and Logic Signals Shiyan Hu and Jiang Hu Dept of Electrical and Computer Engineering Texas A&M University.
Power-Aware System-On-Chip Test Optimization
Reduced Voltage Test Can be Faster!
Vishwani D. Agrawal James J. Danaher Professor
CSV881: Low-Power Design Power-Constrained Testing
A Primal-Dual Solution to Minimal Test Generation Problem
Energy Efficient Power Distribution on Many-Core SoC
Presentation transcript:

26 th International Conference on VLSI January 2013 Pune,India Optimum Test Schedule for SoC with Specified Clock Frequencies and Supply Voltages Vijay Sheshadri, Vishwani D. Agrawal, Prathima Agrawal

Outline Introduction – Problem Statement Integer Linear Programming (ILP) – ILP: Variable test clock Optimum supply voltage – Results for ASIC Z Future Work Conclusions 10/25/2015© VLSI Design Conference 20132

Introduction Technology scaling has led to more cores and increased complexity in SoC devices. – This has resulted in high test data volumes and longer test times. – Reducing test time is one of the major objectives in SoC testing 10/25/2015© VLSI Design Conference 20133

Problem Statement Given an SoC with N core tests and a peak power budget, find a test schedule to: – Test all cores. – Maximize concurrency to reduce test time. – Conform to power budget by restricting concurrency. 10/25/2015© VLSI Design Conference 20134

An Example – ASIC Z Y. Zorian, “A distributed control scheme for complex VLSI devices,” VTS’93, pp. 4–9. Often used as benchmark for SoC test scheduling. 10/25/2015© VLSI Design Conference RAM 2 (61,241) RAM 3 (38,213) ROM 1 (102,279) ROM 2 (102,279) RAM 1 (69,282) RAM 4 (23,96) Reg. file (10,95) Random logic 1 (134, 295) Random logic 2 (160, 352) P max = 900 Block (time, power) Blocks of ASIC Z, and their test time (in a.u.) and test power (in mW)

An Example – ASIC Z Comparison of existing optimal test schedules for ASIC Z. 10/25/2015© VLSI Design Conference Test Session Chou et al. [1] Larsson and Peng [2] Test TimeBlocksTest TimeBlocks 169RAM1,RAM3,RAM4,RF160RL2,RL1,RAM2 2160RL1,RL2102RAM1, ROM1, ROM2 3102ROM1,ROM2,RAM238RAM3, RAM4,RF [1] R. M. Chou et al, “Scheduling tests for vlsi systems under power constraints,” IEEE Trans. VLSI Systems, vol. 5, no. 2, pp. 175–185, [2] E. Larsson and Z. Peng, “An integrated framework for the design and optimization of soc test solutions,” JETTA Spl. Ed., vol. 18, pp. 385–400, 2002

Integer Linear Progam (ILP) Given: – Power budget for SoC, P max – N core tests for an SoC. t i = test time and p i = test power of i th test – K sessions in the test schedule for N core tests. Test time of jth session is, T j = max{t i } Test power of jth session is, P j = ∑ (p i ) 10/25/2015© VLSI Design Conference 20137

Variable Test Clock Frequency 10/25/2015© VLSI Design Conference Selectable clock frequency for each test session. Increasing test clock frequency by a factor f => Test time, and Test power, Proper choice f for each session (ref. to as frequency factor) can optimize overall test time.

Objective: Minimize, where Subject to: – Power constraint: – Test completeness constraint: 10/25/2015IEEE SOCC ILP: Variable Test clock

Frequency Factor 10/25/2015© VLSI Design Conference F j = Frequency factor of j th session. Frequency factor limited by: – P max (Power Constraint) – Max. speed of slowest core in session For simulation, max. clock frequency values assigned to ASIC Z blocks.

ASIC Z Results 10/25/2015© VLSI Design Conference Slower clock Faster clock Nominal clock Prev. Best Optimal Solution

Constraints on Frequency Each core’s max. clock rate decided by: – Max. power limit of core (power constraint) – Critical path delay (structural constraint) Both constraints also influenced by V DD. – Power Constraint: – Structural constraint: 10/25/2015© VLSI Design Conference (also known as Alpha power law)

Influence of V DD on Test time Power constrained test: Structure constrained test: An optimal V DD can balance the two constraints. 10/25/2015© VLSI Design Conference

Optimal V DD Selection Experiments on ISCAS circuits show upto 62% improvement in test time at optimal V DD [3]. 10/25/2015 © VLSI Design Conference [3] P. Venkataramani and V. D. Agrawal, “Reducing Test Time of Power Constrained Test by Optimal Selection of Supply Voltage.” Proc. VLSID, in press, Jan Simulation and experimental test time plots for s298 [3]

Optimal V DD Selection for SoC Clock frequency of a test session may be power constrained or structure constrained. – If power constrained, lowering V DD improves test time. – If structure constrained, lowering V DD deteriorates test time. Objective: To find optimal V DD for power constrained test sessions, thereby reduce overall test time. 10/25/2015 © VLSI Design Conference

ASIC Z Results Revisited 10/25/2015© VLSI Design Conference Slower clock Faster clock Nominal clock Prev. Best Optimal Solution Saturates at time units. Point A Cannot further reduce time by increasing Frequency factor. – Limited by frequency constraints.

Assumptions At Point A: – All test session frequencies are power constrained. – Structural constraint limit >> power constraint limit. – Nominal V DD = 1V, V TH = 0.5V, α = 1 All cores can be tested at same voltage. – Optimal V DD same for all cores. 10/25/2015© VLSI Design Conference

Optimal V DD for ASIC Z 10/25/2015© VLSI Design Conference Power constrained test Structure constrained test Optimum V DD 42% reduction in overall test time at optimal V DD.

Optimal V DD for ASIC Z 10/25/2015© VLSI Design Conference Margin between the constraints impacts test time reduction. SC >> PC SC > PC SC = PC SC = Structural Constraint limit PC = Power Constraint limit

Next Steps Not all test sessions are power constrained. – Identify and include only power constrained test sessions in ILP. Different cores may be tested at different voltages. – Include voltage range for each core. Optimum VDD for each test session. 10/25/2015© VLSI Design Conference

Conclusion Test time minimized by optimizing supply voltage and customizing test clock rate. Proposed method demonstrated on ASIC Z. – 48% improvement over existing solution (300 time units). Main assumption: – Voltage and frequency characteristics of all cores similar. 10/25/2015© VLSI Design Conference