1 Wire Length Prediction-based Technology Mapping and Fanout Optimization Qinghua Liu Malgorzata Marek-Sadowska VLSI Design Automation Lab UC-Santa Barbara.

Slides:



Advertisements
Similar presentations
OCV-Aware Top-Level Clock Tree Optimization
Advertisements

Cadence Design Systems, Inc. Why Interconnect Prediction Doesn’t Work.
An Efficient Technology Mapping Algorithm Targeting Routing Congestion Under Delay Constraints Rupesh S. Shelar Intel Corporation Hillsboro, OR Prashant.
Interconnect Complexity-Aware FPGA Placement Using Rent’s Rule G. Parthasarathy Malgorzata Marek-Sadowska Arindam Mukherjee Amit Singh University of California,
BSPlace: A BLE Swapping technique for placement Minsik Hong George Hwang Hemayamini Kurra Minjun Seo 1.
Meng-Kai Hsu, Sheng Chou, Tzu-Hen Lin, and Yao-Wen Chang Electronics Engineering, National Taiwan University Routability Driven Analytical Placement for.
Ripple: An Effective Routability-Driven Placer by Iterative Cell Movement Xu He, Tao Huang, Linfu Xiao, Haitong Tian, Guxin Cui and Evangeline F.Y. Young.
Coupling-Aware Length-Ratio- Matching Routing for Capacitor Arrays in Analog Integrated Circuits Kuan-Hsien Ho, Hung-Chih Ou, Yao-Wen Chang and Hui-Fang.
FastPlace: Efficient Analytical Placement using Cell Shifting, Iterative Local Refinement and a Hybrid Net Model FastPlace: Efficient Analytical Placement.
High-Level Constructors and Estimators Majid Sarrafzadeh and Jason Cong Computer Science Department
Intrinsic Shortest Path Length: A New, Accurate A Priori Wirelength Estimator Andrew B. KahngSherief Reda VLSI CAD Laboratory.
Optimizing high speed arithmetic circuits using three-term extraction Anup Hosangadi Ryan Kastner Farzan Fallah ECE Department Fujitsu Laboratories University.
International Conference on Computer-Aided Design San Jose, CA Nov. 2001ER UCLA UCLA 1 Congestion Reduction During Placement Based on Integer Programming.
Modern VLSI Design 2e: Chapter4 Copyright  1998 Prentice Hall PTR.
Power-Aware Placement
On the Relevance of Wire Load Models Kenneth D. Boese, Cadence Design Systems, San Jose Andrew B. Kahng, UCSD CSE and ECE Depts., La Jolla Stefanus Mantik,
Architectural-Level Prediction of Interconnect Wirelength and Fanout Kwangok Jeong, Andrew B. Kahng and Kambiz Samadi UCSD VLSI CAD Laboratory
Supply Voltage Degradation Aware Analytical Placement Andrew B. Kahng, Bao Liu and Qinke Wang UCSD CSE Department {abk, bliu,
Local Unidirectional Bias for Smooth Cutsize-delay Tradeoff in Performance-driven Partitioning Andrew B. Kahng and Xu Xu UCSD CSE and ECE Depts. Work supported.
On Modeling and Sensitivity of Via Count in SOC Physical Implementation Kwangok Jeong Andrew B. Kahng.
Reconfigurable Computing (EN2911X, Fall07)
1 A Tale of Two Nets: Studies in Wirelength Progression in Physical Design Andrew B. Kahng Sherief Reda CSE Department University of CA, San Diego.
University of Toronto Pre-Layout Estimation of Individual Wire Lengths Srinivas Bodapati (Univ. of Illinois) Farid N. Najm (Univ. of Toronto)
A Global Minimum Clock Distribution Network Augmentation Algorithm for Guaranteed Clock Skew Yield A. B. Kahng, B. Liu, X. Xu, J. Hu* and G. Venkataraman*
1 Integrating Logic Retiming and Register Placement Tzu-Chieh Tien, Hsiao-Pin Su, Yu-Wen Tsay Yih-Chih Chou, and Youn-Long Lin Department of Computer Science.
Accurate Pseudo-Constructive Wirelength and Congestion Estimation Andrew B. Kahng, UCSD CSE and ECE Depts., La Jolla Xu Xu, UCSD CSE Dept., La Jolla Supported.
Layout-based Logic Decomposition for Timing Optimization Yun-Yin Lien* Youn-Long Lin Department of Computer Science, National Tsing Hua University, Hsin-Chu,
FPGA Technology Mapping. 2 Technology mapping:  Implements the optimized nodes of the Boolean network to the target device library.  For FPGA, library.
Placement-Centered Research Directions and New Problems Xiaojian Yang Amir Farrahi Synplicity Inc.
MASSOUD PEDRAM UNIVERSITY OF SOUTHERN CALIFORNIA Interconnect Length Estimation in VLSI Designs: A Retrospective.
CRISP: Congestion Reduction by Iterated Spreading during Placement Jarrod A. Roy†‡, Natarajan Viswanathan‡, Gi-Joon Nam‡, Charles J. Alpert‡ and Igor L.
1 Coupling Aware Timing Optimization and Antenna Avoidance in Layer Assignment Di Wu, Jiang Hu and Rabi Mahapatra Texas A&M University.
TSV-Aware Analytical Placement for 3D IC Designs Meng-Kai Hsu, Yao-Wen Chang, and Valerity Balabanov GIEE and EE department of NTU DAC 2011.
March 20, 2007 ISPD An Effective Clustering Algorithm for Mixed-size Placement Jianhua Li, Laleh Behjat, and Jie Huang Jianhua Li, Laleh Behjat,
UC San Diego / VLSI CAD Laboratory Incremental Multiple-Scan Chain Ordering for ECO Flip-Flop Insertion Andrew B. Kahng, Ilgweon Kang and Siddhartha Nath.
An Efficient Clustering Algorithm For Low Power Clock Tree Synthesis Rupesh S. Shelar Enterprise Microprocessor Group Intel Corporation, Hillsboro, OR.
HDL-Based Layout Synthesis Methodologies Allen C.-H. Wu Department of Computer Science Tsing Hua University Hsinchu, Taiwan, R.O.C {
A NEW ECO TECHNOLOGY FOR FUNCTIONAL CHANGES AND REMOVING TIMING VIOLATIONS Jui-Hung Hung, Yao-Kai Yeh,Yung-Sheng Tseng and Tsai-Ming Hsieh Dept. of Information.
-1- UC San Diego / VLSI CAD Laboratory Construction of Realistic Gate Sizing Benchmarks With Known Optimal Solutions Andrew B. Kahng, Seokhyeong Kang VLSI.
CSE 494: Electronic Design Automation Lecture 2 VLSI Design, Physical Design Automation, Design Styles.
Massachusetts Institute of Technology 1 L14 – Physical Design Spring 2007 Ajay Joshi.
Modern VLSI Design 3e: Chapter 4 Copyright  1998, 2002 Prentice Hall PTR Topics n Combinational network delay. n Logic optimization.
"A probabilistic approach to clock cycle prediction" A probabilistic approach to clock cycle prediction J. Dambre, D. Stroobandt and J. Van Campenhout.
Congestion Estimation and Localization in FPGAs: A Visual Tool for Interconnect Prediction David Yeager Darius Chiu Guy Lemieux The University of British.
International Workshop on System-Level Interconnection Prediction, Sonoma County, CA March 2001ER UCLA UCLA 1 Wirelength Estimation based on Rent Exponents.
Simultaneous Analog Placement and Routing with Current Flow and Current Density Considerations H.C. Ou, H.C.C. Chien and Y.W. Chang Electronics Engineering,
Physical Synthesis Buffer Insertion, Gate Sizing, Wire Sizing,
"Fast estimation of the partitioning Rent characteristic" Fast estimation of the partitioning Rent characteristic using a recursive partitioning model.
Modern VLSI Design 4e: Chapter 4 Copyright  2008 Wayne Wolf Topics n Combinational network delay. n Logic optimization.
In-Place Decomposition for Robustness in FPGA Ju-Yueh Lee, Zhe Feng, and Lei He Electrical Engineering Dept., UCLA Presented by Ju-Yueh Lee Address comments.
Pre-layout prediction of interconnect manufacturability Phillip Christie University of Delaware USA Jose Pineda de Gyvez Philips Research Laboratories.
International Symposium on Physical Design San Diego, CA April 2002ER UCLA UCLA 1 Routability Driven White Space Allocation for Fixed-Die Standard-Cell.
Dirk Stroobandt Ghent University Electronics and Information Systems Department A New Design Methodology Based on System-Level Interconnect Prediction.
1 WireMap FPGA Technology Mapping for Improved Routability Stephen Jang, Xilinx Inc. Billy Chan, Xilinx Inc. Kevin Chung, Xilinx Inc. Alan Mishchenko,
Courtesy RK Brayton (UCB) and A Kuehlmann (Cadence) 1 Logic Synthesis Timing Optimization.
A Novel Timing-Driven Global Routing Algorithm Considering Coupling Effects for High Performance Circuit Design Jingyu Xu, Xianlong Hong, Tong Jing, Yici.
Dirk Stroobandt Ghent University Electronics and Information Systems Department Multi-terminal Nets do Change Conventional Wire Length Distribution Models.
Proximity Optimization for Adaptive Circuit Design Ang Lu, Hao He, and Jiang Hu.
6/19/ VLSI Physical Design Automation Prof. David Pan Office: ACES Placement (3)
Prediction of Interconnect Net-Degree Distribution Based on Rent’s Rule Tao Wan and Malgorzata Chrzanowska- Jeske Department of Electrical and Computer.
Jody Matos, Augusto Neutzling, Renato Ribas and Andre Reis
On the Relevance of Wire Load Models
Delay Optimization using SOP Balancing
Andrew B. Kahng and Xu Xu UCSD CSE and ECE Depts.
Revisiting and Bounding the Benefit From 3D Integration
Timing Optimization Andreas Kuehlmann
MFAR: Multilevel Fixed-Points-Addition based VLSI Placement
Delay Optimization using SOP Balancing
Chapter 3b Leakage Efficient Chip-Level Dual-Vdd Assignment with Time Slack Allocation for FPGA Power Reduction Prof. Lei He Electrical Engineering Department.
Reconfigurable Computing (EN2911X, Fall07)
Presentation transcript:

1 Wire Length Prediction-based Technology Mapping and Fanout Optimization Qinghua Liu Malgorzata Marek-Sadowska VLSI Design Automation Lab UC-Santa Barbara

2 Outline  Motivation and previous work  Pre-layout wire length prediction  Technology mapping with wire-length prediction  Fanout optimization with wire-length prediction  Experimental results  Conclusions and future work

3 Motivation  Traditional logic synthesis does not consider accurate layout information  Placement quality depends on netlist structure placement algorithm

4 Previous work  Logic and physical co-synthesis Layout-driven logic synthesis Local netlist transformations Metric-driven structural logic synthesis  Adhesion  Distance

5 Pre-layout wire-length prediction  Previous work Statistical wire-length prediction  Lou Sheffer et al. “Why Interconnect Prediction Doesn’t work?” SLIP’00 Individual wire-length prediction  Qinghua Liu et al. “Wire Length Prediction in Constraint Driven Placement” SLIP’03  Semi-individual wire-length prediction Predict that nets have a tendency to be long or short  Qinghua Liu et al. “Pre-layout Wire Length and Congestion Estimation” DAC’04

6 Summary of the semi-individual wire length prediction technique  Predict lengths of connections Mutual contraction  Predict lengths of multi-pin nets by Net range

7 Mutual contraction B.Hu and M.Marek-Sadowska, “Wire length prediction based clustering and its application in placement” DAC’03 u v x y

8 Relative weight of a connection u v x y W r (x, y) = 0.5 W r (u, v) = 0.71 EQ1 EQ2

9 EQ3 C p (x, y) = Wr(x, y) Wr(y, x) x y j W r (x, y) = 0.71 W r (y, x) = 0.6 C p (x, y) = u v i W r (u, v) = 0.71 W r (v, u) = 0.33 C p (u, v) = Mutual contraction of a connection

10 Predictions on connections (a) (b) Mutual contraction vs. Connection length

11 Net range Example of net range Circuit depth

12 Net range vs. average length for multi-pin nets Predictions on multi-pin nets

13 Technology mapping with wire- length prediction (WP-Map)  Node Decomposition  Technology Mapping

14 G a b c a b c a b c Node decomposition T.Kutzschebauch and L.Stok, “Congestion aware layout driven logic synthesis”, ICCAD’01

15 CurrentPinNum= CurrentPinNum-1 CurrentPinNum=n Decompose(G,n 1,n 2 ) Remove n 1 and n 2, insert new net Y Done N Decompose n-input gate G with wire length prediction CurrentPinNum>2? (n 1,n 2 )=two input nets with largest mutual contraction Update mutual contraction Greedy node decomposition algorithm

16 Correlation between mutual contraction and interconnection complexity Average mutual contraction vs. Rent’s exponent

17 Technology mapping EQ4

18 Fanout optimization with wire- length prediction (WP-Fanout)  Net selection Select all large-degree nets Select small-degree nets with large net range  Net decomposition Circuit depth LT-treeBalanced tree

19 Experiment setting  LGSyn93 benchmark suite Optimized by script.rugged Mapped with 0.13um industrial standard cell library  Placement is done by mPL4  Global routing is done by Labyrinth

20 Experimental results  Compare with the traditional area-driven technology mapping algorithm implemented in SIS  Results of the WP-Map algorithm  Results of combined WP-Map and WP- Fanout algorithm

21 Compare WP-Map with SIS Compare mapped netlists

22 Compare WP-Map with SIS (cont.) Average cut number distribution of C6288

23 Compare WP-Map with SIS (cont.) Results after placement and global routing

24 Compare WP-Map + WP-Fanout with SIS Results after placement and global routing

25 Conclusions  Wire length can be predicted in structural level Mutual contraction Net range  Wire length prediction technique can be applied into technology mapping and fanout optimization 8.7% improvement on average congestion 17.2% improvement on peak congestion

26 Future work  Logic extraction with wire-length and congestion prediction  Timing-driven technology mapping with wire-length prediction