1 Integration Verification: Re-Create or Re-Use? Nick Gatherer Trident Digital Systems.

Slides:



Advertisements
Similar presentations
purpose Search : automation methods for device driver development in IP-based embedded systems in order to achieve high reliability, productivity, reusability.
Advertisements

Presenter : Shao-Chieh Hou VLSI Design, Automation and Test, VLSI-DAT 2007.
1 System Level Verification of OCP-IP based SoCs using OCP-IP eVC Himanshu Rawal eInfochips, Inc.,4655 Old Ironsides Drive, Suite 385,Santa Clara, CA
Using emulation for RTL performance verification
- Verifying “Golden” reused IPs The Evil’s in the Edits William C Wallace Texas Instruments Nitin Jayaram Texas Instruments Nitin Mhaske Atrenta Inc Vijay.
HW/SW Interface Management thru Automated Register Specification Anupam Bakshi Engineering Director Agnisys Technology Pvt. Ltd.
Workshop - November Toulouse Paul Brelet TRT Modeling of a smart camera systems 24/11/
Reporter:PCLee With a significant increase in the design complexity of cores and associated communication among them, post-silicon validation.
1 Speed, Drunkenness, and the Wall Does High Level Design/ESL Make Sense? Kris Konigsfeld Sr. Principal Engineer Oregon CPU Architecture Intel Corporation.
LOGO HW/SW Co-Verification -- Mentor Graphics® Seamless CVE By: Getao Liang March, 2006.
Maintaining Consistency Between SystemC and RTL System Designs Presenter: Christopher Lennard, PhD. Authors: ARM - Alistair Bruce, Andrew Nightingale,
Feng-Xiang Huang A Low-Cost SOC Debug Platform Based on On-Chip Test Architectures.
1 HW/SW Partitioning Embedded Systems Design. 2 Hardware/Software Codesign “Exploration of the system design space formed by combinations of hardware.
August 06 PKUnity: A SoC Design and Verification Platform Lu Junlin MicroProcessor R&D Center (MPRC) Peking University.
Behavioral Design Outline –Design Specification –Behavioral Design –Behavioral Specification –Hardware Description Languages –Behavioral Simulation –Behavioral.
~ EDA lab ~ Interconnect Verification for SOC Jing-Yang Jou Department of Electronics Engineering National Chiao Tung University Hsinchu, Taiwan
DSI Division of Integrated Systems Design Functional Verification Environments Development Goals Our main goals are in the field of developing modular.
1 Design For Debug Using DAFCA system Gadi Glikberg 15/6/06.
Principle of Functional Verification Chapter 1~3 Presenter : Fu-Ching Yang.
Embedded Systems Design at Mentor. Platform Express Drag and Drop Design in Minutes IP Described In XML Databook s Simple System Diagrams represent complex.
Hardware/Software Partitioning Witawas Srisa-an Embedded Systems Design and Implementation.
© 2010 Mentor Graphics Corp. Company Confidential Requirements-Driven Design from Concept to Implementation to Compliance Abstract As electronic.
Churning the Most Out of IP-XACT for Superior Design Quality Ayon Dey Lead Engineer, TI Anshuman Nayak Senior Product Director, Atrenta Samantak Chakrabarti.
VerificationTechniques for Macro Blocks (IP) Overview Inspection as Verification Adversarial Testing Testbench Design Timing Verification.
© Copyright Alvarion Ltd. Hardware Acceleration February 2006.
Role of Standards in TLM driven D&V Methodology
1 Chapter 2. The System-on-a-Chip Design Process Canonical SoC Design System design flow The Specification Problem System design.
Workshop - November Toulouse Ronan LUCAS - Magillem Design Services 07/04/2011.
Reporter: PCLee. Assertions in silicon help post-silicon debug by providing observability of internal properties within a system which are.
1CADENCE DESIGN SYSTEMS, INC. Cadence Proposed Transaction Level Interface Enhancements for SCE-MI SEPTEMBER 11, 2003.
11 Using SPIRIT for describing systems to debuggers DSDP meeting February 2006 Hobson Bullman – Engineering Manager Anthony Berent – Debugger Architect.
SystemC: A Complete Digital System Modeling Language: A Case Study Reni Rambus Inc.
Presenter : Ching-Hua Huang 2013/7/15 A Unified Methodology for Pre-Silicon Verification and Post-Silicon Validation Citation : 15 Adir, A., Copty, S.
집적회로 Spring 2007 Prof. Sang Sik AHN Signal Processing LAB.
Using Formal Verification to Exhaustively Verify SoC Assemblies by Mark Handover Kenny Ranerup Applications Engineer ASIC Consultant Mentor Graphics Corp.
Mixed-abstraction Modeling Approach with Fault Injection for Hardware-Firmware Co-design and Functional Co-verification of an Automotive Airbag System.
System Design with CoWare N2C - Overview. 2 Agenda q Overview –CoWare background and focus –Understanding current design flows –CoWare technology overview.
Workshop - November Toulouse Paul Brelet TRT Exploration and application deployment on a SoC: efficient application.
ESL and High-level Design: Who Cares? Anmol Mathur CTO and co-founder, Calypto Design Systems.
© 2012 xtUML.org Bill Chown – Mentor Graphics Model Driven Engineering.
TTCN-3 MOST Challenges Maria Teodorescu
Boost Verification Results by Bridging the Hw/Sw Testbench Gap by Matthew Ballance Verification Technologist Mentor Graphics.
Winter-Spring 2001Codesign of Embedded Systems1 Methodology for HW/SW Co-verification in SystemC Part of HW/SW Codesign of Embedded Systems Course (CE.
BridgePoint Integration John Wolfe / Robert Day Accelerated Technology.
UML MARTE Time Model for Spirit IP-XACT Aoste Project INRIA Sophia-Antipolis.
2D/3D Integration Challenges: Dynamic Reconfiguration and Design for Reuse.
CHAPTER 8 Developing Hard Macros The topics are: Overview Hard macro design issues Hard macro design process Physical design for hard macros Block integration.
- 1 - ©2009 Jasper Design Automation ©2009 Jasper Design Automation JasperGold for Targeted ROI JasperGold solutions portfolio delivers competitive.
SCE-MI Meeting 1 San Jose’, 14 th Nov Author: Andrea Castelnuovo SCE-MI Integrating Emulation in a system level design methodology San Jose’, 14/11/2003.
Macro Verification Guidelines Chapter 7.. Chap 7. Macro Verification Guidelines The goal of macro verification The macro is 100 percent correct in its.
SOC Virtual Prototyping: An Approach towards fast System- On-Chip Solution Date – 09 th April 2012 Mamta CHALANA Tech Leader ST Microelectronics Pvt. Ltd,
Architecture View Models A model is a complete, simplified description of a system from a particular perspective or viewpoint. There is no single view.
Way beyond fast © 2002 Axis Systems, Inc. CONFIDENTIAL Axis Common Transaction Interface (CTI) Architecture Highlights 9/11/2003 Ching-Ping Chou Axis Systems,
System-on-Chip Design Hao Zheng Comp Sci & Eng U of South Florida 1.
Workshop - November Toulouse Paul Brelet TRT Case of smart camera system 24/11/
Multiprocessor SoC integration Method: A Case Study on Nexperia, Li Bin, Mengtian Rong Presented by Pei-Wei Li.
Exploiting Architecture For Verification Dave Whipp.
Chapter 11 System-Level Verification Issues. The Importance of Verification Verifying at the system level is the last opportunity to find errors before.
Real-Time System-On-A-Chip Emulation.  Introduction  Describing SOC Designs  System-Level Design Flow  SOC Implemantation Paths-Emulation and.
Hardware/Software Co-Verification with RTOS Application Code Michael Bradley, Mentor Graphics Kainian Xie, Hyperchip Inc.
ISCUG Keynote May 2008 Acknowledgements to the TI-Nokia ESL forum (held Jan 2007) and to James Aldis, TI and OSCI TLM WG Chair 1 SystemC: Untapped Value.
Design with Vivado IP Integrator
INCOSE IW12 MBSE Workshop 15 INCOSE (MBSE) Model Based System Engineering Integration and Verification Scenario Ron Williamson, PhD Raytheon
Creation and Utilization of a Virtual Platform for Embedded Software Optimization: An Industrial Case Study Sungpack Hong, Sungjoo Yoo, Sheayun Lee, Sangwoo.
April 15, 2013 Atul Kwatra Principal Engineer Intel Corporation Hardware/Software Co-design using SystemC/TLM – Challenges & Opportunities ISCUG ’13.
System-on-Chip Design
Figure 1 PC Emulation System Display Memory [Embedded SOC Software]
MLWG - User Requirements
A High Performance SoC: PkunityTM
HIGH LEVEL SYNTHESIS.
Presentation transcript:

1 Integration Verification: Re-Create or Re-Use? Nick Gatherer Trident Digital Systems

2 Introduction Nick Gatherer SoC Design Manager Trident Digital Systems (Formerly BU TV, NXP Semiconductors) In former NXP role … Chair of NXP’s Advanced Functional Verification Working Group -NXP’s cross-business expert team on verification NXP Business Renewal project manager for System Level Design & Verification

3 Agenda Introduction & Verification Landscape Focus on Integration Verification Challenges What does it cost ? The IP  Integrator Interface Example verification re-use methodology Conclusions Q & A

4 Trident – SoCs for DTV & STB (high complexity !) PNX847x/8x/9x World’s first fully integrated 45nm Set Top Box SoC platform Architectural & IP re-use from PNX85500 (internal & 3 rd party IP) PNX85500 Industry’s first digital TV processor in 45nm CMOS Most complex SoC ever developed by NXP/Trident Required aggressive TtM & Right First Time From packaged parts received to System bring up in less than 10 days Very high level of functionality and performance

5 Functional Verification – A Holistic Approach System validation HW/SW integration & co-verification SW development HW Integration Hardware IP Virtual Prototype RTL Simulation FPGA Prototype EmulationSilicon Select appropriate platform per project phase Availability vs Accuracy vs Performance vs Debuggability Allocate coverage goals to specific platforms Verification Technology Landscape

6 Functional Verification – Re-Use Opportunities System validation HW/SW integration & co-verification SW development HW Integration Hardware IP Virtual Prototype RTL Simulation FPGA Prototype EmulationSilicon Verification Technology Landscape Re-use across verification tasks/abstractions Re-use across verification platforms Re-use across projects Verification re-use depends on stakeholder alignment IP suppliers, IP integrators, verification teams, technology/standards

7 Agenda Introduction & Verification Landscape Focus on Integration Verification Challenges What does it cost ? The IP  Integrator Interface Example verification re-use methodology Conclusions Q & A

8 Integration Verification – Challenges HW integration verification Focus on (structural) integration integrity Interconnect & interoperability Typical Challenges Integrator has limited knowledge of IP implementation IP supplier has limited knowledge of target system Use of multiple IP suppliers results in inconsistent IP verification views Methodology, testbenches, coverage data, etc. Industry standards late. Mechanisms for accommodating IP configuration Usually need to port IP tests to SoC level / environment in order to re-use Huge effort ! What sub-set is needed for integration verification ? Awareness and impact of IP implementation changes & known problems Concurrent IP dev and SoC integration demands incremental maturity Difficult to debug complex interactions between IPs (embedded checks help) How much coverage is sufficient for integration confidence ?

9 Agenda Introduction & Verification Landscape Focus on Integration Verification Challenges What does it cost ? The IP  Integrator Interface Example verification re-use methodology Conclusions Q & A

10 Integration Verification – What does it cost per IP ? Integration Test IP with no external I/O, no DMA, no master IF [weeks] IP with external I/O, DMA, but no master IF [weeks] IP with external I/O, DMA and bus master IF [weeks] Register Access0.5 – 1.0 Clock & Reset Connectivity 0.5 – 1.0 Interrupt Connectivity0.5 – 1.5 Stub Integrationn.a.1.0 – 4.0 I/O Connectivityn.a.0.5 – 1.5 DMA Connectivityn.a.1.0 – 2.0 Communication Stub/CPU n.a. 1.0 – 4.0 Bus Master Interfacen.a. 1.0 – 3.0 Total1.5 – – – 18.0 Effort for integration verification is 50%-75% of the total SoC front end work  Re-use is a must!!! Example project (from subsequent data mining) …

11 Integration Verification – What does it cost per SoC ?  For a medium complexity SoC with around 50 IPs … Integration verification effort is 100 – 250 man weeks −Effort can vary a lot depending on how much integration verification can be re-used from previous projects −Effort can vary a lot depending on what is delivered by the IP provider (verification components)  Significant improvement potential on the IP provider side  Design for integration verification  Significant improvement potential on the SoC integrator side  Align on a common methodology Example project plan (based on 50% IP verification re-use)  Total effort reduced by 103 man weeks (approx 2 man years !)

12 Agenda Introduction & Verification Landscape Focus on Integration Verification Challenges What does it cost ? The IP  Integrator Interface Example verification re-use methodology Conclusions Q & A

13 IP  Integrator Interface for verification HW Integration (views delivered by IP suppliers that support integration) RTL SimulationEmulation IP Datasheet (functionality, interfaces, etc) & Maturity index Verification report … IP verification strategy/plan & results (RTL, N/L, FPGA) Code & functional coverage Checklist/maturity, limitations, waivers, and open issues Simulation vectors Full & reduced set for integration IP specification & integration reviews (ideally face-2-face) Joint silicon bring-up & validation Simulation models as needed to support testbench Emulation models as needed to support mapping BFMs, eVC’s, OVC’s XML register description to support test creation Interface & protocol assertion checkers

14 Agenda Introduction & Verification Landscape Focus on Integration Verification Challenges What does it cost ? The IP  Integrator Interface Example verification re-use methodology Conclusions Q & A

15 Verification SW – Methodology Overview Objective: Enable IP suppliers to deliver IP integration tests Implementation Software-centric verification approach ‘C’ tests run on any embedded processor (or transactor) Non-invasive and runs on all implementation levels SystemC, RTL, netlist, FPGA, emulation, silicon API defined to ensure standard methodology Allows IP supplier to define: In-context connectivity verification test Register tests can be generated automatically from IP-XACT metadata Inter-operability test with generic platform functions interrupt, DMA, clock, reset, power IP context information is applied at the SoC integration phase Allows automatic test program generation from suitable integration environment

16 Verification SW – Re-Use Example SoC CPU CGUINTCDMA SoC Integrator (Chip context applied) IP Bus Interface IOs Logic IP Bus Interface IP Supplier  IP supplier delivers STANDARDIZED verification components  Plug and Play Integration Verification Verification SW IO-Stub CPU Verification SW IO-Stub

17 Agenda Introduction & Verification Landscape Focus on Integration Verification Challenges What does it cost ? The IP  Integrator Interface Example verification re-use methodology Conclusions Q & A

18 Conclusions Verification continues to consume significant time & effort for complex SoCs Design re-use is now well established Verification re-use needs to catch up ! IP is usually delivered with support for integration verification, but lacks consistency between suppliers There’s a big difference between ‘re-usable’ and ‘almost re-usable’ ! Proprietary approaches have emerged to overcome lack of industry standards, but these are not robust against industry dynamics Overall …. Design IP is a MUST IP support for integration verification is a MUST Need more standardisation of approach

19 Agenda Introduction & Verification Landscape Focus on Integration Verification Challenges What does it cost ? The IP  Integrator Interface Example verification re-use methodology Conclusions Q & A