ASIC Design Flow – An Overview Ing. Pullini Antonio

Slides:



Advertisements
Similar presentations
TOPIC : SYNTHESIS DESIGN FLOW Module 4.3 Verilog Synthesis.
Advertisements

Simulation executable (simv)
ECE 551 Digital System Design & Synthesis Lecture 08 The Synthesis Process Constraints and Design Rules High-Level Synthesis Options.
Logic Synthesis – 3 Optimization Ahmed Hemani Sources: Synopsys Documentation.
Ch.3 Overview of Standard Cell Design
Integrated Circuits Laboratory Faculty of Engineering Digital Design Flow Using Mentor Graphics Tools Presented by: Sameh Assem Ibrahim 16-October-2003.
High-Level Constructors and Estimators Majid Sarrafzadeh and Jason Cong Computer Science Department
CSE241 Formal Verification.1Cichy, UCSD ©2003 CSE241A VLSI Digital Circuits Winter 2003 Recitation 6: Formal Verification.
RTL Hardware Design by P. Chu Chapter 161 Clock and Synchronization.
Kazi Spring 2008CSCI 6601 CSCI-660 Introduction to VLSI Design Khurram Kazi.
Kazi Fall 2006 EEGN 4941 EEGN-494 HDL Design Principles for VLSI/FPGAs Khurram Kazi.
Evolution of implementation technologies
January 16, '02Agrawal: Delay testing1 Delay Testing of Digital Circuits Vishwani D. Agrawal Agere Systems, Murray Hill, NJ USA
King Fahd University of Petroleum and Minerals Computer Engineering Department COE 561 Digital Systems Design and Synthesis (Course Activity) Synthesis.
1 Application Specific Integrated Circuits. 2 What is an ASIC? An application-specific integrated circuit (ASIC) is an integrated circuit (IC) customized.
ELEN468 Lecture 11 ELEN468 Advanced Logic Design Lecture 1Introduction.
1 ENTITY test is port a: in bit; end ENTITY test; DRC LVS ERC Circuit Design Functional Design and Logic Design Physical Design Physical Verification and.
03/30/031 ECE 551: Digital System Design & Synthesis Lecture Set 9 9.1: Constraints and Timing 9.2: Optimization (In separate file)
Design methodology.
ISE. Tatjana Petrovic 249/982/22 ISE software tools ISE is Xilinx software design tools that concentrate on delivering you the most productivity available.
Global Routing.
CAD for Physical Design of VLSI Circuits
Lecture 17 Lecture 17: Platform-Based Design and IP ECE 412: Microcomputer Laboratory.
ASIC/FPGA design flow. FPGA Design Flow Detailed (RTL) Design Detailed (RTL) Design Ideas (Specifications) Design Ideas (Specifications) Device Programming.
Introduction Verilog is a HARDWARE DESCRIPTION LANGUAGE (HDL) A hardware description language is a language or means used to describe or model a digital.
ECE Advanced Digital Systems Design Lecture 12 – Timing Analysis Capt Michael Tanner Room 2F46A HQ U.S. Air Force Academy I n t e g r i.
HDL-Based Layout Synthesis Methodologies Allen C.-H. Wu Department of Computer Science Tsing Hua University Hsinchu, Taiwan, R.O.C {
1 5. Application Examples 5.1. Programmable compensation for analog circuits (Optimal tuning) 5.2. Programmable delays in high-speed digital circuits (Clock.
CSE 494: Electronic Design Automation Lecture 2 VLSI Design, Physical Design Automation, Design Styles.
Massachusetts Institute of Technology 1 L14 – Physical Design Spring 2007 Ajay Joshi.
Chonnam national university VLSI Lab 8.4 Block Integration for Hard Macros The process of integrating the subblocks into the macro.
Placement. Physical Design Cycle Partitioning Placement/ Floorplanning Placement/ Floorplanning Routing Break the circuit up into smaller segments Place.
Field Programmable Gate Arrays (FPGAs) An Enabling Technology.
FPGA-Based System Design Copyright  2004 Prentice Hall PTR Logic Design Process n Functional/ Non-functional requirements n Mapping into an FPGA n Hardware.
Modern VLSI Design 4e: Chapter 3 Copyright  2008 Wayne Wolf Topics n Pseudo-nMOS gates. n DCVS logic. n Domino gates. n Design-for-yield. n Gates as IP.
4. Combinational Logic Networks Layout Design Methods 4. 2
TOPIC : SYNTHESIS INTRODUCTION Module 4.3 : Synthesis.
ECE 545 Project 2 Specification. Schedule of Projects (1) Project 1 RTL design for FPGAs (20 points) Due date: Tuesday, November 22, midnight (firm) Checkpoints:
EE 466/586 VLSI Design Partha Pande School of EECS Washington State University
ECE 545 Project 2 Specification. Project 2 (15 points) – due Tuesday, December 19, noon Application: cryptography OR digital signal processing optimized.
Exercise TAIST ICTES Program VLSI Design Methodology Hiroaki Kunieda Tokyo Institute of Technology.
Anurag Dwivedi. Basic Block - Gates Gates -> Flip Flops.
Timing and Constraints “The software is the lens through which the user views the FPGA.” -Bill Carter.
ECE 260B – CSE 241A /UCB EECS Kahng/Keutzer/Newton Physical Design Flow Read Netlist Initial Placement Placement Improvement Cost Estimation Routing.
CHAPTER 8 Developing Hard Macros The topics are: Overview Hard macro design issues Hard macro design process Physical design for hard macros Block integration.
1 Interconnect/Via. 2 Delay of Devices and Interconnect.
Physical Synthesis Buffer Insertion, Gate Sizing, Wire Sizing,
IMPLEMENTATION OF MIPS 64 WITH VERILOG HARDWARE DESIGN LANGUAGE BY PRAMOD MENON CET520 S’03.
Introduction to Clock Tree Synthesis
Introduction to ASIC flow and Verilog HDL
ASIC/FPGA design flow. Design Flow Detailed Design Detailed Design Ideas Design Ideas Device Programming Device Programming Timing Simulation Timing Simulation.
04/21/20031 ECE 551: Digital System Design & Synthesis Lecture Set : Functional & Timing Verification 10.2: Faults & Testing.
ELEC Digital Logic Circuits Fall 2015 Delay and Power Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering.
Written by Whitney J. Wadlow
-1- Soft Core Viterbi Decoder EECS 290A Project Dave Chinnery, Rhett Davis, Chris Taylor, Ning Zhang.
INF3430 / 4431 Synthesis and the Integrated Logic Analyzer (ILA) (WORK IN PROGRESS)
Introduction to the FPGA and Labs
Introduction to ASICs ASIC - Application Specific Integrated Circuit
ASIC Design Methodology
VLSI Testing Lecture 5: Logic Simulation
Vishwani D. Agrawal Department of ECE, Auburn University
Topics The logic design process..
EE141 Design Styles and Methodologies
Week 5, Verilog & Full Adder
Timing Analysis 11/21/2018.
Lecture 14: Timing Analysis and Timed Simulation
Win with HDL Slide 4 System Level Design
H a r d w a r e M o d e l i n g O v e r v i e w
EE382M VLSI 1 LAB 1 DEMO FALL 2018.
UNIT-III Logic Gates and other Complex gates, Switch logic, Alternate gate circuits Physical Design, Floor Planning, Placement – Routing, Power Delay.
Presentation transcript:

ASIC Design Flow – An Overview Ing. Pullini Antonio

Outline Introduction to ASIC Design Flow Standard Cells Design Details of Design Flow Timing Validation Post Layout Validation

A Typical ASIC Design Flow Ideally, one-shot linear flow In practice, iterations needed to fix issues – Validation failures – Bad quality of results – No timing closure Design Space Exploration RTL Coding Logic Synthesis PlacementRouting

Basics of a ASIC Design Flow Layout Placed and routed network of gates Layout Placed and routed network of gates

Standard Cell Design Standard-cell libraries are a fixed set of well-characterized logic blocks Basic logic functions that are used several times on the same integrated circuit It will have leaf cells ranging from simple gates to latches and flip-flops. These can then be used to build arithmetic blocks like adders and multipliers. ASIC designers commonly employ the use of standard cell libraries due to their robustness and flexibility resulting in quick turnaround times All cells have the same height (with adjacent power and ground)‏ Cells tiled into rows

Standard Cell Designs - Libraries Library of precharacterized cells – Delay/Power vs. Rise Time and Output Capacitance – Physical Dimension and Pin Position

Timing Delay of cell depends on Rise Time and Output Load

Capacitive Loads Load depends on Input Capacitance and Interconnect Capacitance

Standard Cell Designs – The Cells

Standard Cell Designs – The Rows VDD GND

Basic High Level Design Flow

RTL Simulation Behavioral simulation no delays for processing No performance parameters only functionality is verified Hardware description Not every construct in VHDL or Verilog can be implemented in hardware. This simulation will not show this.

Testbenches

Synthesis - Requirements Constraints to drive the synthesis process – Clock Period – IN/OUT delays – Max Area/Power Technology library – Timing view – Power consumption – Area Occupation RTL Gate Level Netlist Synthesis Tech Lib Constraints

Synthesis – Analyze design Check the syntax of the HDL files – Checks if the file is compliant with one of the supported HDL files (Verilog/VHDL)‏ Check the synthesizability of the design – Reports if some of the non-synthesizable construct have been used Identify the connectivity of the block – All the ports and their directions are identified

Synthesize - Elaborate Identifies all the components inferred in the RTL Map the inferred components to a Generic Library (GTECH)‏ Applies the required optimizzation on the RTL (ex. Clock Gating)‏

Synthesis – Elaborate – an example module simpleadder(ina,inb,out,clk); input [3:0] ina; input [3:0] inb; output [4:0] out; reg [4:0] out; input clk; reg [3:0] internal_a; reg [3:0] internal_b; reg [4:0] internal_res; clk)‏ begin internal_a <= ina; internal_b <= inb; out <= internal_res; end or internal_b)‏ begin internal_res <= internal_a + internal_b; end endmodule

Synthesis – Linking And Opcond Setup Set the Operating Condition at which the synthesis will take place (process voltage and temperature P.V.T.)‏ Select the target library Links the design to other pre-characterized blocks or hard macros

Synthesis – Tech Mapping and Optimization The GTECH components are mapped onto the technology library Logic is minimized Implementation of basic building blocks (e.g. adders) are chosen considering the area/power/timing budget

Synthesis – Map & Opt an example Internal_a Out internal_b

Timing Design and Delay Test Timing simulation: Critical paths are identified by static (vector-less) timing analysis tools like Primetime (Synopsys). Timing or circuit-level simulation using designer- generated functional vectors verifies the design. Layout optimization: Critical path data are used in placement and routing. Delay parameter extraction, timing simulation and layout are repeated for iterative improvement. Testing: Some form of at-speed test is necessary. Critical paths and all gate transition delays are tested.

Static Timing Analysis Finds maximum and minimum delays between all clocked flip-flops. Combinational circuit Flip-flops

STA example A1A1 B3B3 E1E1 F1F1 G2G2 H3H3 J1J1 C1C1 D2D2

A1A1 B3B3 E1E1 F1F1 G2G2 H3H3 J1J1 C1C1 D2D

STA example A1A1 B3B3 E1E1 F1F1 G2G2 H3H3 J1J1 C1C1 D2D

STA example A1A1 B3B3 E1E1 F1F1 G2G2 H3H3 J1J1 C1C1 D2D2 4,8 2,2 1,1 4,10 4,7 2,4 3,3 1,1 3,5

Floorplanning Inputs: – Blocks with well-defined shapes and area – Blocks with approximated area and no particular shape – Netlist specifying block connections Outputs: – Locations for all blocks Objectives – Minimize area – Reduce wirelength – Maximize routability – Determine shapes of flexible blocks Constraints – Shape of each block – Area of each block – Pin locations for each block – Aspect ratio

Placement The process of arranging circuit components on a layout surface Inputs: Set of fixed modules, netlist Output: Best position for each module based on various cost functions Cost functions include wirelength, wire routability, performance, I/O pads

Placement Good placement – No congestion – Shorter wires – Fewer metal levels – Smaller delay – Lower power dissipation Bad placement –Congestion –Longer wires –More metal levels –Higher delay –Higher power dissipation

Power Planning

Clock Distribution Standard digital systems rely on the clock signal being present everywhere on the chip at the same time: skew Clock signal has to be connected to all flip- flops: high fan out Specialized tools insert multi level buffers (to drive the load) and balance the timing by ensuring the same wirelength for all connection

Clock Distribution

CTS example

Routing Connect the various standard cells using wires Input: – Cell locations, netlist Output: – Geometric layout of each net connecting various standard cells Two-step process – Global routing – Detailed routing Objective – 100% connectivity of a system – Minimize area – Minimize wirelength Constraints – Number of routing layers – Design rules – Timing (delay)‏ – Crosstalk – Process variations

Routing Global vs. Detailed

Extraction Once the physical design is complete, everything about the interconnection network is known. It is possible to extract parasitic capacitance for the interconnection – Wire capacitance – Wire to wire capacitance – Wire - via resistance The extracted information can be used to extract timing information. It can be stored in special files (SDF, SPEF) and can be used by circuit simulators.

Optimization Parasitics may influence timing severely. It is possible to make local optimizations to combat additional capacitance: – Buffers are added to long connections – Driver strength of the standard cells is adjusted – Incremental change of placement – Critical paths are resynthesized

Design Rule Check Every physical layer has limits that are determined by the production flow. These include: – Minimum spacing – Minimum width – Minimum coverage – Minimum area

Layout Versus Schematic The physical layout contains only geometric information The devices (transistors) and interconnections are extracted.This is the extracted netlist The extracted netlist is compared to the initial netlist that we started with. Shorts between layers can be detected in this stage. Very important step, this step tells us that the chip is good to go.