ION IMPLANTATION - Chapter 8

Slides:



Advertisements
Similar presentations
6.1 Transistor Operation 6.2 The Junction FET
Advertisements

FABRICATION PROCESSES
ECE/ChE 4752: Microelectronics Processing Laboratory
CHAPTER 8: THERMAL PROCESS (continued). Diffusion Process The process of materials move from high concentration regions to low concentration regions,
Metal Oxide Semiconductor Field Effect Transistors
Ion Beam Analysis techniques:
Implantation and post-annealing characteristics when impinging small B n clusters into silicon at low fluence J.H. Liang, H.M. Han Department of Engineering.
Chapter 8 Ion implantation
Microelectronics Processing
For the exclusive use of adopters of the book Introduction to Microelectronic Fabrication, Second Edition by Richard C. Jaeger. ISBN © 2002.
Microelectronics Processing
MSE-630 Dopant Diffusion Topics: Doping methods Resistivity and Resistivity/square Dopant Diffusion Calculations -Gaussian solutions -Error function solutions.
Rutherford Backscattering Spectrometry
Section 4: Diffusion part 2
For the exclusive use of adopters of the book Introduction to Microelectronic Fabrication, Second Edition by Richard C. Jaeger. ISBN © 2002.
Particle Interactions
Kinetic Lattice Monte Carlo Simulations of Dopant Diffusion/Clustering in Silicon Zudian Qin and Scott T. Dunham Department of Electrical Engineering University.
CMOS Process Integration ECE/ChE 4752: Microelectronics Processing Laboratory Gary S. May March 25, 2004.
Radiation therapy is based on the exposure of malign tumor cells to significant but well localized doses of radiation to destroy the tumor cells. The.
EE415 VLSI Design The Devices: Diode [Adapted from Rabaey’s Digital Integrated Circuits, ©2002, J. Rabaey et al.]
The Devices: Diode.
M.H.Nemati Sabanci University
Ion Implantation A summary to aid you in studying for the exam.
Chapter 8 Ion Implantation Instructor: Prof. Masoud Agah
ECE/ChE 4752: Microelectronics Processing Laboratory
Ion Implantation Topics: Deposition methods Implant
Section 6: Ion Implantation
Modern VLSI Design 3e: Chapter 2 Copyright  1998, 2002 Prentice Hall PTR Topics n Derivation of transistor characteristics.
Defects & Impurities BW, Ch. 5 & YC, Ch 4 + my notes & research papers
ISAT 436 Micro-/Nanofabrication and Applications MOS Transistor Fabrication David J. Lawrence Spring 2001.
Chapter 8 Ion Implantation
MOHD YASIR M.Tech. I Semester Electronics Engg. Deptt. ZHCET, AMU.
Carrier Mobility and Velocity
© 2000 by Prentice Hall Upper Saddle River NJ ION IMPLANTATION Dr. Wanda Wosik ECE 6466, F2012 Chapter 8.
Silicon – On - Insulator (SOI). SOI is a very attractive technology for large volume integrated circuit production and is particularly good for low –
Basic Electronics By Asst Professor : Dhruba Shankar Ray For B.Sc. Electronics Ist Year 1.
Boron Diffusion in Silicon CEC Inha University Chi-Ok Hwang.
SEMINAR ON IC FABRICATION MD.ASLAM ADM NO:05-125,ETC/2008.
Post Anneal Solid State Regrowth
ENE 311 Lecture 9.
INTEGRATED CIRCUITS Dr. Esam Yosry Lec. #3. Diffusion  Introduction  Diffusion Process  Diffusion Mechanisms  Why Diffusion?  Diffusion Technology.
Sputter deposition.
INTEGRATED CIRCUITS Dr. Esam Yosry Lec. #4. Ion Implantation  Introduction  Ion Implantation Process  Advantages Compared to Diffusion  Disadvantages.
Application of Silicon-Germanium in the Fabrication of Ultra-shallow Extension Junctions of Sub-100 nm PMOSFETs P. Ranade, H. Takeuchi, W.-H. Lee, V. Subramanian,
Thermal doping review example This presentation is partially animated. Only use the control panel at the bottom of screen to review what you have seen.
Spencer/Ghausi, Introduction to Electronic Circuit Design, 1e, ©2003, Pearson Education, Inc. Chapter 3, slide 1 Introduction to Electronic Circuit Design.
Lecture 18 OUTLINE The MOS Capacitor (cont’d) – Effect of oxide charges – V T adjustment – Poly-Si gate depletion effect Reading: Pierret ; Hu.
Junction Formation The position of the junction for a limited source diffused impurity in a constant background is given by The position of the junction.
Dean P. Neikirk © 1999, last update February 4, Dept. of ECE, Univ. of Texas at Austin Ion Implantation alternative to diffusion for the introduction.
CHAPTER 4: P-N JUNCTION Part I.
Radiation Damage Quick Study Edward Cazalas 3/27/13.
Research Paper. Chapter 7: DOPANT DIFFUSION DOPANT DIFFUSION Introduction Introduction Basic Concepts Basic Concepts –Dopant solid solubility –Macroscopic.
© 2004 Dieter Ast, Edwin Kan This material has been edited for class presentation. Ion Implantation: The most controlled way to introduce dopants into.
Diodes II: Fabrication by Doping MS&E 362: Materials Lab III Nov. 8.
A closer look at Diffusion: Part II March 2001 D.G. Ast.
Doping. 고려대학교 Center for MNB Sensor Technology 166.
Ion Implantation CEC, Inha University Chi-Ok Hwang.
CHAPTER 5 ION IMPLANTATION In ion implantation, ionized impurity atoms are accelerated through an electrostatic field and strike the surface of the wafer.
Solid State Devices EE 3311 SMU
Conductivity Charge carriers follow a random path unless an external field is applied. Then, they acquire a drift velocity that is dependent upon their.
EMT362: Microelectronic Fabrication
Metal Semiconductor Field Effect Transistors
Parul Institute of Engineering & Technology
Prof. Jang-Ung Park (박장웅)
Chapter 8 Ion Implantation
Example Design a B diffusion for a CMOS tub such that s=900/sq, xj=3m, and CB=11015/cc First, we calculate the average conductivity We cannot calculate.
Fermi Level Dependent Diffusion in Silicon
Chapter 7: DOPANT DIFFUSION
Shukui Zhang, Matt Poelker, Marcy Stutzman
Presentation transcript:

ION IMPLANTATION - Chapter 8 Basic Concepts • Ion implantation is the dominant method of doping used today. In spite of creating enormous lattice damage it is favored because: • Large range of doses - 1011 to 1016 /cm2 • Extremely accurate dose control • Essential for MOS VT control • Buried (retrograde) profiles are possible • Low temperature process • Wide choice of masking materials • There are also some significant disadvantages: • Damage to crystal. • Anomalous transiently enhanced diffusion (TED). upon annealing this damage. • Charging of insulating layers.

• At its heart ion implantation is a random process. A. Implant Profiles • At its heart ion implantation is a random process. • High energy ions (1-1000keV) bombard the substrate and lose energy through nuclear collisions and electronic drag forces. • Profiles can often be described by a Gaussian distribution, with a projected range and standard deviation. (200keV implants shown.) Heavy atoms have smaller projected range and smaller spread = struggle Rp (1) or (2) where Q is the dose in ions cm-2 and is measured by the integrated beam current. Doses 1*1012 cm-2 to 1*1016 cm-2 used in MOS ICs

Energy Dependence Rp and Rp for dopants in Si. Ranges and standard deviation ∆Rp of dopants in randomly oriented silicon.

3D Distribution of P Implanted to Si • Monte Carlo simulations of the random trajectories of a group of ions implanted at a spot on the wafer show the 3-D spatial distribution of the ions. (1000 phosphorus ions at 35 keV.) • Side view (below) shows Rp and ∆Rp while the beam direction view shows the lateral straggle. Lateral struggle R| Rp =50 nm, Rp =20 nm

Lateral Implantation - Consequences for Devices • The two-dimensional distribution is often assumed to be composed of just the product of the vertical and lateral distributions. (3) • Now consider what happens at a mask edge - if the mask is thick enough to block the implant, the lateral profile under the mask is determined by the lateral straggle. (35keV and 120keV As implants at the edge of a poly gate from Alvis et al.) (Reprinted with permission of J. Vac. Science and Technology.) • The description of the profile at the mask edge is given by a sum of point response Gaussian functions, which leads to an error function distribution under the mask. (See class notes on diffusion for a similar analysis.)

B. Masking Implants (4) (5) (6) • How thick does a mask have to be? • For masking, (4) Dose that penetrates the mask • Calculating the required mask thickness, Depends on mask material (5) • The dose that penetrates the mask is given by (6) Lateral struggle important in small devices

Masking Layer in Ion Implantation Photoresist, oxide mask Lateral struggle important in small devices Dose that penetrates the mask To stop ions: Poly thickness

Masking Efficiency Mask edges tapered – thickness not large enough Tilted implantation (“halo”) – use numerical calculations ( ex. to decrease short channel effects in small devices) Shadowing effect  rotate or implant at 0 Deg.

Implantation Followed by Annealing  Function rediffused Annealing requires additional Dt terms added to C(x)  Cp, depth , C(x) remains Gaussian. Backscattering of light atoms. C(x) is Gaussian only near the peak.

C. Profile Evolution During Annealing • Comparing Eqn. (1) with the Gaussian profile from the last set of notes, we see that ∆Rp is equivalent to . Thus (7) • The only other profile we can calculate analytically is when the implanted Gaussian is shallow enough that it can be treated as a delta function and the subsequent anneal can be treated as a one-sided Gaussian. (Recall example in Chapter 7 notes.) (8)

Arbitrary Distribution of Dopants • Real implanted profiles are more complex. • Light ions backscatter to skew the profile up. • Heavy ions scatter deeper. • 4 moment descriptions of these profiles are often used (with tabulated values for these moments). Range: (9) Std. Dev: (10) Skewness: (11) Kurtosis: • Real structures may be even more complicated because mask edges or implants are not vertical. (12) Pearson’s model good for amorphous (&fine grain poly-) silicon or for rotation and tilting that makes Si look like amorphous materials.

Two – Dimensional Distributions Thin oxide Near the mask edge 2D distributions calculated by MC model should be the best – verification difficult due to measuring problems. Phenomenological description of processes is insufficient for small devices. Atomistic view in scattering Poly-Si Verification through SIMS

D. Implants in Real Silicon - Channeling • At least until it is damaged by the implant, Si is a crystalline material. • Channeling can produce unexpectedly deep profiles. • Screen oxides and tilting/rotating the wafer can minimize but not eliminate these effects. (7˚ tilt is common.) • Sometimes a dual Pearson profile description is useful. • Note that the channeling decreases in the high dose implant (green curve) because damage blocks the channels.

Channeling Effect As two profiles <100> c-Si, B Dual-Pearson model gives the main profile and the channeled part. Dependence on dose: damage by higher doses decreases channeling. No channeling for As @ high doses Parameters are tabulated (for simulators). Include scattering in multiple layers (also masks’ edges). IMPORTANT in small devices! Channeling not forward scattering Screen oxide decreases channeling. But watch for O knock-out.

P implantation at 4- keV and low dose Q<1013cm-2 Channeling P implantation at 4- keV and low dose Q<1013cm-2 0° 8°

Manufacturing Methods and Equipment Mass Analysis Lorentz force For low E implant no acceleration Centrifugal force Ion velocity B++, B+, F+, BF, BF2+ Mass Selection mr Gives mass separation AsH3 PH3 BF2 in 15% H2, all very toxic Integrate the current to determine the dose Neutral ions can be implanted (w/o deflection=center) but will not be measured in Dose (use trap) Ion beam heating T increases - keep it below 200 °C

High Energy Implants Applications in fabrication of: wells (multiple implants give correct profiles ex. uniform or retrograde), buried oxides, buried layers (MeV, large doses)! - replaces highly doped substrate with epi-layers CMOS In latch-up Thyristor structure UEB 0.7V p-n-p n-p-n UBE 0.7V Decrease of Rsub - less latch-up Future IC fabrication: implantation at high energy becomes more important - reduction of processing steps

Ultralow Energy Implants Required by shallow junctions in VLSI circuits (50 eV- B) - ions will land softly as in MBE Extraction of ions from a plasma source ~ 30keV Options: Lowering the extraction voltage Vout the space charge limited current limits the dose J  V1/2extd-2 ex. J2keV=1/4•J5keV Extraction at the final energy used in the newest implantors but not for high doses due to self limitation due to sputtering at the surface. Now 250 eV available,; 50 eV to come Deceleration (decel mode) more neutrals formed and implanted deeper that ions (doping nonuniformities) Transient Enhanced Diffusion (TED) present in the low energy Ion Implantation and {311} defects.

Modeling of Range Statistics • The total energy loss during an ion trajectory is given by the sum of nuclear and electronic losses (these can be treated independently). (13) The range (14) Computers used to find R A. Nuclear Stopping Scattering potential Role of electrons in screening • An incident ion scatters off the core charge on an atomic nucleus, modeled to first order by a screened Coulomb scattering potential. (15) Thomas Fermi model Energy transferred Head-on collision (max energy transferred) Z2, m2 Elastic collisions • This potential is integrated along the path of the ion to calculate the scattering angle. (Look-up tables are often used in practice.) • Sn(E) in Eqn. (14) can be approximated as shown below where Z1, m1 = ion and Z2, m2 = substrate. Nuclear stopping power (16)

Models and Simulations Rutherford(1911) - (He) backscattered due to collision with a + nucleus. Bohr- the nuclear energy loss due to + atoms cores and electronic loss due to free electrons decrease Many contributors. Lindhard, Scharff and Schiott (1963) (LSS)

B. Non-Local and Local Electronic Stopping • Drag force caused by charged ion in "sea" of electrons (non-local electronic stopping). • Collisions with electrons around atoms transfers momentum and results in local electronic stopping. • To first order, where Inelastic Collisions with electrons  momentum transfer, small change of the trajectory. (17) C. Total Stopping Power • The critical energy Ec when the nuclear and electronic stopping are equal is B: ≈ 17keV P: ≈ 150keV As, Sb : > 500keV • Thus at high energies, electronic stopping dominates; at low energy, nuclear stopping dominates. Energy loss w/o the trajectory change

Damage Production Ed=Displacement energy (for a Frenkel pair)  15eV  large damage induced by Ion Implantation • Consider a 30keV arsenic ion, which has a range of 25 nm, traversing roughly 100 atomic planes. 30 keV As  Rp  25mm E decreases to Ed so that ions stop. n= Number of displaced Si atoms Si  Si  Dose – large damage!

Damage in Implantation • Molecular dynamics simulation of a 5keV Boron ion implanted into silicon [de la Rubia, LLNL]. • Note that some of the damage anneals out between 0.5 and 6 psec (point defects recombining). Time for the ion to stop 1 ion  primary damage: defect clusters, dopant-defect complexes, I and V Damage accumulates in subsequent cascades and depends on existing N -local defects Damage evolution (atomic interaction) stabilization @ lower concentrations due to local recombiination Fraction of recombined defects (displaced atoms) Increment in damage more recombination for heavy ions since damage is less dispersed than for light ions: B-0.1, P-0.4, As-0.6. Damage related to dose and energy

Damage in Implantation Including Amorphization Damage is mainly due to nuclear energy losses : for B @ Rp. As – everywhere in the Dopant profile. - Si forms @ large doses and spread wider with the increasing Q. - Si forms @ low T of II (LN2) , @ RT or higher – recombination  (in-situ annealing) - Si is buried Preamorphization eliminates the channeling effect • Cross sectional TEM images of amorphous layer formation with increasing implant dose (300keV Si -> Si) [Rozgonyi] • Note that a buried amorphous layer forms first and a substantially higher dose is needed before the amorphous layer extends all the way to the surface. • These ideas suggest preamorphizing the substrate with a Si (or Ge) implant to prevent channeling when dopants are later implanted.

Damage Annealing - Solid Phase Epitaxy • If the substrate is amorphous, it can regrow by SPE. • In the SPE region, all damage is repaired and dopants are activated onto substitutional sites. • Cross sectional TEM images of amorphous layer regrowth at 525˚C, from a 200keV, 6e15 cm-2 Sb implant. • In the tail region, the material is not amorphized. • Damage beyond the a/c interface can nucleate stable, secondary defects and cause transient enhanced diffusion (TED).

Damage Annealing (more) Formation of End-of-Range (EOR) defects @ a/c interface in Si  large damage after II @ the C-Si side but below the threshold for amorphization. Loops R= 10 nm grow to 20 nm in 1000 °C Furnace 850 °C RTP 1000 °C Solid Phase Epitaxy 5 min 1 sec {311}&loops 60 min 60 sec 400 sec  1000 °C gives stable dislocation loops 960 min 1100 °C/60 sec may be enough to remove the dislocation loops . Loops in P-N junctions  leakage Optimize annealing: Short time, high T to limit dopant diffusion but remove defects Optimize I2 : LN2 Ge 4*1014 cm-2 RT- 5*1014 cm-2 produces a-Si Heating by I-beam - defects harder to be remove @ RT , EOR @ 100 nm depth  =25 nm, 1010 cm-2 @ 900 °C/15 min @ LN2 NO EOR!

Damage Annealing - “+1” Model Goals: • Remove primary damage created by the implant and activate the dopants. • Restore silicon lattice to its perfect crystalline state. • Restore the electron and hole mobility. • Do this without appreciable dopant redistribution. Primary defects start to anneal at 400 °C  all damage must be annealed with only +1 atom remaining. (+1 model) Fast • In regions where SPE does not take place (not amorphized), damage is removed by point defect recombination. Clusters of I recombine = dissolve @ the surface • Bulk and surface recombination take place on a short time scale. Frenkel pairs After 10-2s only “I” • "+1" I excess remains. These I coalesce into {311} defects which are stable for longer periods. • {311} defects anneal out in sec to min at moderate temperatures (800 - 1000˚C) but eject I TED. @ 900 °C, 5 sec  1011 cm-2 of {311}; not long=10 nm rods then dissolve if below critical size or else grow dislocation loops (stable) = extrinsic e. i. Si I planes on {111}  = secondary defects. (difficult to remove)

Solid State Epitaxy Regrowth from the C-Si acting as a seed (as in crystal growth from melt) @ 600 deg C, 50 nm/min <100> 20 nm/min <110> 2 nm/min <111> 2.3 eV is for Si-Si bond breaking Regrowth rate Regrowth 10x larger for highly doped regions Dopants are active =substitutional position with very little diffusion. But high T might be needed for EOR annealing. Time No defects=no diffusion enhancements

Dopant Activation • When the substrate is amorphous, SPE provides an ideal way of repairing the damage and activating dopants (except that EOR damage may remain). • At lower implant doses, activation is much more complex because stable defects form. • Plot (above left) of fractional activation versus anneal temperature for boron. • Reverse annealing (above right) is thought to occur because of a competition between the native interstitial point defects and the boron atoms for lattice sites.

Dopant Activation – No Premorphization Low T Annealing is enough for low doses – low primary damage can be easily annealed. High doses – damage below amorphization secondary defects = difficult to anneal and requires high T  950-1050 ° C. Full activation Secondary defects from Amorphization improves activation @ low T leading to 100% @ high T Note: very high doses may result in low activation (25%) High initial activation, full activation is fast @ low T, Low initial activation, traps anneal out, I compete with B for substitutional sites, I –B complexes More damage so activation decreases with dose maintaining the same behavior. (1) (2) (3) Doses below amorphization High doses - high T required which causes more diffusion - in small devices unacceptable Increasing dose Carriers’ mobility increases with damage anneal