Introduction to FPGA AVI SINGH. Prerequisites Digital Circuit Design - Logic Gates, FlipFlops, Counters, Mux-Demux Familiarity with a procedural programming.

Slides:



Advertisements
Similar presentations
Verilog Fundamentals Shubham Singh Junior Undergrad. Electrical Engineering.
Advertisements

The Verilog Hardware Description Language
Verilog Overview. University of Jordan Computer Engineering Department CPE 439: Computer Design Lab.
Synchronous Sequential Logic
Combinational Logic.
Table 7.1 Verilog Operators.
Verilog Intro: Part 1.
Hardware Description Language (HDL)
Combinational Logic with Verilog Materials taken from: Digital Design and Computer Architecture by David and Sarah Harris & The Essentials of Computer.
Anurag Dwivedi.  Verilog- Hardware Description Language  Modules  Combinational circuits  assign statement  Control statements  Sequential circuits.
CSE 201 Computer Logic Design * * * * * * * Verilog Modeling
ECE 551 Digital System Design & Synthesis Lecture 08 The Synthesis Process Constraints and Design Rules High-Level Synthesis Options.
1 Workshop Topics - Outline Workshop 1 - Introduction Workshop 2 - module instantiation Workshop 3 - Lexical conventions Workshop 4 - Value Logic System.
 HDLs – Verilog and Very High Speed Integrated Circuit (VHSIC) HDL  „ Widely used in logic design  „ Describe hardware  „ Document logic functions.
Verilog - 1 Writing Hardware Programs in Abstract Verilog  Abstract Verilog is a language with special semantics  Allows fine-grained parallelism to.
Verilog Sequential Circuits Ibrahim Korpeoglu. Verilog can be used to describe storage elements and sequential circuits as well. So far continuous assignment.
Silicon Programming--Intro. to HDLs1 Hardware description languages: introduction intellectual property (IP) introduction to VHDL and Verilog entities.
ENEE 408C Lab Capstone Project: Digital System Design Fall 2005 Sequential Circuit Design.
Verilog Basics Nattha Jindapetch November Agenda Logic design review Verilog HDL basics LABs.
ECE 2372 Modern Digital System Design
Registers CPE 49 RMUTI KOTAT.
Synthesis Presented by: Ms. Sangeeta L. Mahaddalkar ME(Microelectronics) Sem II Subject: Subject:ASIC Design and FPGA.
System Arch 2008 (Fire Tom Wada) /10/9 Field Programmable Gate Array.
Combinational Logic. Digital Circuits Introduction Logic circuits for digital systems may be combinational or sequential. A combinational circuit.
Introduction Verilog is a HARDWARE DESCRIPTION LANGUAGE (HDL) A hardware description language is a language or means used to describe or model a digital.
1 An Update on Verilog Ξ – Computer Architecture Lab 28/06/2005 Kypros Constantinides.
Digital System 數位系統 Verilog HDL Ping-Liang Lai (賴秉樑)  
1 Workshop Topics - Outline Workshop 1 - Introduction Workshop 2 - module instantiation Workshop 3 - Lexical conventions Workshop 4 - Value Logic System.
1 Workshop Topics - Outline Workshop 1 - Introduction Workshop 2 - module instantiation Workshop 3 - Lexical conventions Workshop 4 - Value Logic System.
Module 1.2 Introduction to Verilog
COE 202 Introduction to Verilog Computer Engineering Department College of Computer Sciences and Engineering King Fahd University of Petroleum and Minerals.
1 COMP541 Sequential Circuits Montek Singh Feb 1, 2012.
Anurag Dwivedi. Basic Block - Gates Gates -> Flip Flops.
Slide 1 2. Verilog Elements. Slide 2 Why (V)HDL? (VHDL, Verilog etc.), Karen Parnell, Nick Mehta, “Programmable Logic Design Quick Start Handbook”, Xilinx.
3/4/20031 ECE 551: Digital System Design * & Synthesis Lecture Set 3 3.1: Verilog - User-Defined Primitives (UDPs) (In separate file) 3.2: Verilog – Operators,
1 Hardware description languages: introduction intellectual property (IP) introduction to VHDL and Verilog entities and architectural bodies behavioral,
Behavioral Modelling - 1. Verilog Behavioral Modelling Behavioral Models represent functionality of the digital hardware. It describes how the circuit.
CSCE 211: Digital Logic Design Chin-Tser Huang University of South Carolina.
Introduction to ASIC flow and Verilog HDL
Introduction to Verilog. Data Types A wire specifies a combinational signal. – Think of it as an actual wire. A reg (register) holds a value. – A reg.
Introduction to Verilog
Introduction to Verilog. Structure of a Verilog Program A Verilog program is structured as a set of modules, which may represent anything from a collection.
1 University of Jordan Computer Engineering Department CPE 439: Computer Design Lab.
1 Lecture 1: Verilog HDL Introduction. 2 What is Verilog HDL? Verilog Hardware Description Language(HDL)? –A high-level computer language can model, represent.
Introduction to FPGAs Getting Started with Xilinx.
1 Introduction to Engineering Spring 2007 Lecture 18: Digital Tools 2.
Exp#5 & 6 Introduction to Verilog COE203 Digital Logic Laboratory Dr. Ahmad Almulhem KFUPM Spring 2009.
Introduction to Verilog COE 202 Digital Logic Design Dr. Muhamed Mudawar King Fahd University of Petroleum and Minerals.
Introduction to the FPGA and Labs
Overview Logistics Last lecture Today HW5 due today
Hardware Description Languages: Verilog
EECE6017C - Lab 0 Introduction to Altera tools and Basic Digital Logic
Supplement on Verilog FF circuit examples
Introduction to Verilog
EMT 351/4 DIGITAL IC DESIGN Week # Synthesis of Sequential Logic 10.
Hardware Description Languages: Verilog
Introduction to DIGITAL CIRCUITS MODELING & VERIFICATION using VERILOG [Part-I]
Introduction to Verilog
Behavioral Modeling in Verilog
Field Programmable Gate Array
Field Programmable Gate Array
Field Programmable Gate Array
Introduction to Verilog
SYNTHESIS OF SEQUENTIAL LOGIC
Introduction to Digital System and Microprocessor Design
Introduction to Verilog
Introduction to Verilog
The Verilog Hardware Description Language
Introduction to Verilog
Introduction to Digital IC Design
Presentation transcript:

Introduction to FPGA AVI SINGH

Prerequisites Digital Circuit Design - Logic Gates, FlipFlops, Counters, Mux-Demux Familiarity with a procedural programming language like C

Bottom Up Design

Logic Gates

Circuits using Logic Gates

A little more Complication

Flip Flops

Counters

Microprocessors Made up of several smaller blocks, as discussed previously. Can contain hundreds of millions of gates. For Example: Intel i7 ~ 731m gates!

Our Dilemma For better performing computer, we need processors with a large number of gates. But, how do we design with so many gates? Do we ‘draw’ these circuits? Too tedious!

HDLs to the Rescue Hardware Description Languages (HDLs) Allow you to ‘write’ down hardware. How? We will see. Some of the popular HDLs: Verilog (mainly in the US), VHDL

Example: A Tri-State Buffer module tri_buf (a,b,enable); input a; output b; input enable; wire b; assign b = (enable) ? a : 1'bz; endmodule

How HDLs help you get the circuit

Where do FPGAs come in the picture? FPGA stands for Field Programmable Gate Arrays You can think of it as drawing board made out of silicon: you can implement any digital circuit on it. An HDL code after synthesis can be uploaded to the FPGA, and we can test our design in the real world, before going to production with it.

How does it beat a microcontroller? Parallel processing. Digital Circuits are way faster than algorithms running on a CPU. User configurable input/output pins: You can have dozens of external interrupts (or internal interrupts), have as many UART or SPI ports as you want. You can implement a processor on an FPGA, add external memory and few other peripherals, and you have a brand new micro-controller of your own, which can even run software.

Reconfigurable Logic Blocks

Coding in Verilog Consists of various building blocks called Modules Communication between a module and its environment is achieved by using Ports Ports are of three types: input, output, inout

Module A “Black Box” in Verilog with inputs, outputs and internal logic working. So, a module can be used to implement a counter. A module is defined as module ( );

4029 Counter One Input port for CLK Four binary output ports At every rising edge of clock, increment output by 1

Declaring Module Way 1: module 4029(clk, a, b, c, d, reset, enable); //Assuming two more input pins, reset and //enable with their corresponding functioning Way 2: module 4029(clk, out, reset, enable); What is the difference in the two?

Declaring Ports Way 1: input clk; input reset; input enable; output a,b,c,d; Way 2: input clk; input reset; input enable; output [3:0] out;

Types of Ports We need drivers for this module in order to interact with other modules Driver is a way of defining something which can drive a load Two types of drivers: ◦Can store a value (for example, flip-flop) ◦Cannot store a value, but connects two points (for example, a wire) In Verilog, a driver which can store a value is called reg and the one which cannot is called wire

Drivers for 4029 modules Ports defined as wires? ◦ clk ◦reset ◦enable We do not need to stores the values of these ports in our logical block. Ports defined as reg? ◦a,b,c,d ◦out We need to store them so that we could modify their values when required.

Defining drivers for 4029 Way 1: wire clk; wire reset; wire enable; reg a,b.c,d; Way 2: wire clk; wire reset; wire enable; reg [3:0] out;

Complete definition of module module 4029( clk, out, reset, enable); input wire clk; input wire reset; input wire enable; output reg [3:0] out; endmodule

Wire Vs Reg reg can store a value, wire simply connects Most of the times, inputs are wire and outputs are reg Output of flip flop – wire or reg ? Output of XOR gate – wire or reg ? Output of multiplexer – wire or reg ?

What now? We have seen how to define the outer structure of the modules we will use. Time to define the internal structure and functioning?

Operational and Conditional Statements All the arithmetic as well as logical operators in Verilog are similar to C, except ++ and –- which are not available in Verilog. Conditional statements are also similar to C with following modifications: ◦ { is replaced by begin. ◦ } is replaced by end.

Combinatorial Circuits Combinational circuits are acyclic interconnections of gates. ◦And, Or, Not, Xor, Nand, Nor …… ◦Multiplexers, Decoders, Encoders …. ◦Adders, Multipliers …. OUTPUT DEPENDS ON THE PRESENT INPUT ONLY. How are these gates, muxs etc. abstracted in Verilog? ◦Gates, Add, Multiply … : by simple operators like in C ◦Multiplexers … : by control statements like if-else, case, etc. Gate level implementation of above high level operators done by Verilog synthesizer.

Control Statements if-else, case : ◦Exactly like C. ◦Hardware view: implemented using multiplexers for loops, repeat: ◦– for-loops are synthesizable only if length of iteration is determined at compile time & finite. ◦repeat -similar to for loop. ◦Hardware view: All loops are unrolled during synthesis.

Control Statement Syntax

Assign statements Continuous assignment statement. Used for modeling only combinational logic. module BusInverter( input wire A, output wire B ); assign B = ~A; endmodule Basically B is shorted to ~A. RHS should have variable of wire type.

Example-1 bit Full Adder GATE LEVEL DESCRIPTION module full_adder( input wire a, input wire b, input wire cin, output wire sum, output wire carry ); assign sum = a & ~b & ~cin | ~a & b & ~cin |~a & ~b & cin | a & b & cin; assign carry = a & b | a & cin | b & cin; endmodule BEHAVIORAL DESCRIPTION module full_adder( input wire a, input wire b, input wire cin, output wire sum, output wire carry ); assign { carry, sum } = a+b+cin; endmodule

Sequential Circuits Circuits containing state elements are called sequential circuits OUTPUT DEPENDS ON THE PRESENT INPUT AS WELL AS ON ITS PRESENT STATE. The simplest synchronous state element: Edge triggered D Flip Flop How do you implement such an element in Verilog?

block It is an abstraction provided in Verilog to mainly implement sequential circuits. Also used for combinational circuits. list#) begin ………. //No assign statements inside end Execution of always block depends on the sensitivity list.

Sensitivity List Run continuously. (mostly used in Test Benches) always Run when any variable changes its value. //for combinational ckts Run when the variables `a' or `b' change their value. b) Run when a positive edge is detected on CLK. CLK) //for sequential ckt

initial block An initial block is executed only once when simulation starts This is useful in writing test benches If we have multiple initial blocks, then all of them are executed at the beginning of simulation

Counter Example module Counter( input wire CLK, output reg [3:0] OUT ); initial OUT <= 0; CLK) OUT <= OUT + 1; endmodule

Blocking and Non-blocking statement Non-blocking assignments happen in parallel. ( #sensitivity list # ) begin B <= A ; C (B,C) = (1,2) end Blocking assignments happen sequentially. ( #sensitivity list # ) begin B = A ; C = B ; // (A,B) = (1,2) -> (B,C) = (1,1) end

Points to note Use block with blocking assignments for combinational circuits. Use clk) block with non- blocking assignments for sequential combinational circuits. Do not mix blocking and non-blocking statements.

Complete 4029 module module 4029( clk, out, reset, enable); input wire clk; input wire reset; input wire enable; output reg [3:0] out; clk) begin if (reset == 0 && enable == 0) begin out <= out +1; end // continued to next page

Complete 4029 module or enable) begin if (reset == 1’b1) begin out <= 0; end endmodule

Xilinx Virtex V

Hobbyist Friendly Boards Mojo v3/v2 Papilio Pro

How to get started? First of all download Xilinx ISE WebPack, with a free licence. Create a simple project, and learn to write test benches (or directly create them on the ISE), and start using the ISim tool. Do the ‘Verilog in a Day’ exercises found here Check if Mojo boards are available in club. Go here: See your code in action!