Modeling and Simulation TWG Hsinchu Dec. 6, 2000 1 Modeling and Simulation TWG Paco Leon, Intel International TWG Members: I. Bork, Infineon E. Hall, Motorola.

Slides:



Advertisements
Similar presentations
April 26-27, 2001 Ed Hall Work in Progress – Not for Publication Modeling and Simulation TWG Attendees Wim ShoenmakerEurope Gilles Le CarvalEurope Herve.
Advertisements

18 July 2001 Work In Progress – Not for Publication 2001 ITRS Test Chapter ITRS Test ITWG Mike Rodgers Don Edenfeld.
RF and AMS Technologies for Wireless Communications Working Group International Technology Roadmap for Semiconductors Radio Frequency and Analog/Mixed-Signal.
Metrology Roadmap 2003 Update EuropeUlrich Mantz (Infineon) Mauro Vasconi (ST) JapanMasahiko Ikeno (Mitsubishi) Toshihiko Osada (Fujitsu) Akira Okamoto.
Work in Progress --- Not for Publication 6 December Interconnect Working Group ITRS 2000 Lakeshore Hotel, Hsinchu, Taiwan, R.O.C. 6 December 2000.
PIDS: Poster Session 2002 ITRS Changes and 2003 ITRS Key Issues ITRS Open Meeting Dec. 5, 2002 Tokyo.
1 PIDS 7/1/01 18 July 2001 Work In Progress – Not for Publication P. Zeitzoff Contributors: J. Hutchby, P. Fang, G. Bourianoff, J. Chung, Y. Hokari, J.
DRAFT - NOT FOR PUBLICATION 14 July 2004 – ITRS Summer Conference PIDS Status and Key Issues: 2004 and 2005 ITRS Peter M. Zeitzoff for PIDS Technology.
DRAFT - NOT FOR PUBLICATION 14 July 2004 – ITRS Summer Conference Modeling and Simulation ITWG Jürgen Lorenz - Fraunhofer-IISB ITWG/TWG Members H. Jaouen,
ITRS Summer Public Conference, July 15, San Francisco, CA, USA 1 Modeling and Simulation ITWG Jürgen Lorenz – Fraunhofer IISB – Chairperson M&S ITWG ITWG/TWG.
2 December 2003 – ITRS Public Conference Hsin Chu, Taiwan Modeling and Simulation ITWG Jürgen Lorenz - Fraunhofer-IISB ITWG/TWG Members H. Jaouen, STM.
24 July 2002 Work In Progress – Not for Publication Modeling and Simulation ITWG Jürgen Lorenz - FhG-IISB ITWG/TWG Members H. Jaouen, STM * W. Molzer,
Modeling and Simulation ITWG San Francisco, July 24, July 2002 Work In Progress – Not for Publication Modeling and Simulation ITWG Jürgen Lorenz.
DRAFT – Work In Progress - NOT FOR PUBLICATION 13 July 2005 Modeling and Simulation ITWG Jürgen Lorenz – Fraunhofer IISB – chairperson M&S ITWG ITWG Members.
4 December 2002, ITRS 2002 Update Conference Modeling and Simulation ITWG Jürgen Lorenz - FhG-IISB ITWG/TWG Members H. Jaouen, STM * W. Molzer, Infineon.
Modeling and Simulation ITWG Tokyo, December 4, 2002 Modeling and Simulation ITWG Jürgen Lorenz - FhG-IISB ITWG/TWG Members H. Jaouen, STM * W. Molzer,
ITRS Summer Public Conference, July 14, 2010, San Francisco, CA, USA 1 Modeling and Simulation ITWG Bert Huizing – NXP – European M&S ITWG and IRC member.
ITRS Winter Conference 2006 The Ambassador Hotel Hsin Chu Taiwan 1 International Technology Roadmap for Semiconductors Assembly and Packaging 2006.
ITRS Winter Public Conference, December 9, Seoul, Korea 1 Modeling and Simulation ITWG Jürgen Lorenz – Fraunhofer IISB – Chairperson M&S ITWG ITWG/TWG.
ITRS Winter Conference 2006 The Ambassador Hotel Hsin Chu Taiwan 1 Modeling and Simulation ITWG Jürgen Lorenz – Fraunhofer IISB – chairperson M&S ITWG.
ITRS Summer Conference 2007 Moscone Center San Francisco, USA 1 DRAFT – Work In Progress - NOT FOR PUBLICATION Modeling and Simulation ITWG Jürgen Lorenz.
Embedded Systems Design: A Unified Hardware/Software Introduction 1 Chapter 10: IC Technology.
by Alexander Glavtchev
Work in Progress – Do not Publish - FEP ITRS Winter Public Conference 2012, Taiwan 1 Front End Processes ITRS 2012 Winter Public Conference.
FABRICATION PROCESSES
Chapter 6 Thermal oxidation and the Si/SiO2 interface
ECE 6466 “IC Engineering” Dr. Wanda Wosik
INTEGRATED CIRCUITS Dr. Esam Yosry Lec. #6.
Silicon Oxidation ECE/ChE 4752: Microelectronics Processing Laboratory Gary S. May January 15, 2004.
1 Lecture 5: IC Fabrication The Transistor Revolution First transistor Bell Labs, 1948 © Rabaey: Digital Integrated Circuits 2nd.
MEMs Fabrication Alek Mintz 22 April 2015 Abstract
EZ-COURSEWARE State-of-the-Art Teaching Tools From AMS Teaching Tomorrow’s Technology Today.
MonolithIC 3D Inc., Patents Pending MonolithIC 3D ICs RCAT approach 1 MonolithIC 3D Inc., Patents Pending.
Presentation for Advanced VLSI Course presented by:Shahab adin Rahmanian Instructor:Dr S. M.Fakhraie Major reference: 3D Interconnection and Packaging:
OVERVIEW ON PROCESS & DEVICE SIMULATION
ITRS Factory Integration Difficult Challenges Last Updated: 30 May 2003.
Silicon – On - Insulator (SOI). SOI is a very attractive technology for large volume integrated circuit production and is particularly good for low –
Integrated Circuit Devices Professor Ali Javey Summer 2009 Fabrication Technology.
1 Chemical Engineering Tools for Semiconductor Fabrication David Cohen, PhD AIChE Norcal Symposium April 12, 2005.
Metallization: Contact to devices, interconnections between devices and to external Signal (V or I) intensity and speed (frequency response, delay)
NANOMETER SCALE LITHOGRAPHY DANIEL BERNARD – BENJAMEN STROBELAPRIL 29, 2013 EE 4611 – STANLEY G. BURNS NANOMETER SCALE LITHOGRAPHY, ALSO KNOWN AS NANOLITHOGRAPHY,
Steven J. Hillenius Executive Vice President Semiconductor Research Corporation Industrial perspective for university research trends Trends in Simulation.
Presented By : LAHSAINI Achraf & MAKARA Felipe.  Introduction  Difficult Challenges : > Difficult Challenges between 2013 – 2020 > Difficult Challenges.
Limitations of Digital Computation William Trapanese Richard Wong.
Text Book: Silicon VLSI Technology Fundamentals, Practice and Modeling Authors: J. D. Plummer, M. D. Deal, and P. B. Griffin Class: ECE 6466 “IC Engineering”
Numerical Boltzmann/Spherical Harmonic Device CAD Overview and Goals Overview: Further develop and apply the Numerical Boltzmann/Spherical Harmonic method.
Process Variation Mohammad Sharifkhani. Reading Textbook, Chapter 6 A paper in the reference.
1 Modeling and Simulation International Technology Roadmap for Semiconductors, 2004 Update Ashwini Ujjinamatada Course: CMPE 640 Date: December 05, 2005.
IC Processing. Initial Steps: Forming an active region Si 3 N 4 is etched away using an F-plasma: Si3dN4 + 12F → 3SiF 4 + 2N 2 Or removed in hot.
AoE Project Nano-Process Modeling: Lithography modeling and device fabrication Philip Chan, Mansun Chan Department of ECE, HKUST Edmund Lam Department.
©2008 R. Gupta, UCSD COSMOS Summer 2008 Chips and Chip Making Rajesh K. Gupta Computer Science and Engineering University of California, San Diego.
ADVANCED HIGH DENSITY INTERCONNECT MATERIALS AND TECHNIQUES DIVYA CHALLA.
Variation. 2 Sources of Variation 1.Process (manufacturing) (physical) variations:  Uncertainty in the parameters of fabricated devices and interconnects.
Advanced Computing and Information Systems laboratory Nanocomputing technologies José A. B. Fortes Dpt. of Electrical and Computer Eng. and Dpt. of Computer.
EE141 © Digital Integrated Circuits 2nd Introduction 1 EE5900 Advanced Algorithms for Robust VLSI CAD Dr. Shiyan Hu Office: EERC 731 Adapted.
Sandia NINE: Intel Expectations C. Michael Garner Technology Strategy.
Research Paper. Chapter 7: DOPANT DIFFUSION DOPANT DIFFUSION Introduction Introduction Basic Concepts Basic Concepts –Dopant solid solubility –Macroscopic.
IH2655 Seminar January 26, 2016 Electrical Characterization,B. Gunnar Malm
Scaling
Integrated Circuits.
Prof. Jang-Ung Park (박장웅)
Modern Semiconductor Devices for Integrated Circuits (C. Hu) Slide 3-1 Chapter 3 Device Fabrication Technology About transistors (or 10 billion for.
VLSI Design MOSFET Scaling and CMOS Latch Up
Introduction to CMOS VLSI Design Chapter 3: CMOS Processing Technology
Chapter 7: DOPANT DIFFUSION
Technology scaling Currently, technology scaling has a threefold objective: Reduce the gate delay by 30% (43% increase in frequency) Double the transistor.
• Very pure silicon and germanium were manufactured
Multiscale Modeling and Simulation of Nanoengineering:
Basic Planar Process 1. Silicon wafer (substrate) preparation
Presentation transcript:

Modeling and Simulation TWG Hsinchu Dec. 6, Modeling and Simulation TWG Paco Leon, Intel International TWG Members: I. Bork, Infineon E. Hall, Motorola H. Jaouen, STM N. Kotani, Mitsubishi P. Leon, Intel H. Ma, Episil K. Nishi, SELETE S.-C. Wong, TSMC

Modeling and Simulation TWG Hsinchu Dec. 6, Modeling and Simulation TWG: Scope Topical Areas Equipment/Topography Modeling Lithography Modeling Front End Process Modeling Numerical Device Modeling Circuit Element Modeling Package Modeling Numerical Methods and Algorithms Developers Universities Laboratories (government, industry) CAD Vendors Strategy Adequate research funds for universities and laboratories are indispensable, because new modeling capability requires long-range research.

Modeling and Simulation TWG Hsinchu Dec. 6, Modeling and Simulation TWG: Key Messages High frequency circuit models Models to reduce cross-die variation (OPC, CMP, equipment modeling) Model alternative lithography technology tradeoffs Gate stack modeling for ultra-thin/alternative dielectrics Modeling limits of MOS; models for innovative MOS and beyond Goals for cost reduction due to TCAD

Modeling and Simulation TWG Hsinchu Dec. 6, Difficult Challenges: High frequency circuit modeling (>1GHz) Efficient simulation of full-chip interconnect delay Accurate 3-D interconnect model; inductance effects High frequency circuit models including non-quasi-static, substrate noise, QM effects Efficient, accurate interconnect modeling critical for future high speed circuits

Modeling and Simulation TWG Hsinchu Dec. 6, Difficult Challenges: Unified Package/die-level models Unified package/chip-level circuit models Integrated treatment of thermal, mechanical, electrical effects Packages becoming increasingly complex electrical devices High frequency interaction of package and die must be modeled together Thermo-mechanical effects must be understood Long-range: mixed electrical/optical analysis

Modeling and Simulation TWG Hsinchu Dec. 6, Difficult Challenges: Modeling of ultra-shallow junctions Diffusion parameters needed (e.g., from first principles calculations) for As, B, P, Sb, In, Ge Interface effects on point defects and dopants Activation models (In, As, B); metastable states Implant damage, amorphization, re- crystallization Significant progress recently using quantum calculations for diffusion parameters Interface interactions dominate Shallow junctions: all dopants are next to surface Activation issues become important Scaled devices need low resistivity source/drain

Modeling and Simulation TWG Hsinchu Dec. 6, Difficult Challenges: Equipment/topography modeling: Model thin film and etch control across die/wafer Reaction paths and rate constants Plasma models; linked equipment/feature models CMP (full wafer and die level) Pattern dependent effects Within-die variation becoming key performance limiter; reduction is a source of performance gain 300mm wafer transition ongoing

Modeling and Simulation TWG Hsinchu Dec. 6, Resolution enhancement effects and mask synthesis (e.g., OPC, PSM) Predictive resist models 248 vs 193 vs 157 evaluation and tradeoffs Next-generation litho system models Near term: resolution enhancement techniques, OPC Long term: evaluation of competing Next Generation Litho approaches (EUV, Scalpel, …) Difficult Challenges: Model Alternative Lithography Tradeoffs EUV camera wafer mask

Modeling and Simulation TWG Hsinchu Dec. 6, Difficult Challenges: Reliability models for circuit design and technology development Circuit and device level transistor reliability: oxide TDDB, SER, hot carrier, electromigration, NVM reliability ESD, latchup Device level: need predictive models for transistor reliability issues Circuit level: empirical models to guard-band designs

Modeling and Simulation TWG Hsinchu Dec. 6, Difficult Challenges: Gate stack modeling for ultra-thin dielectrics Electrical and processing models for alternate gate dielectrics, and alternate gate materials (e.g., metal) Model epsilon, surface states, reliability, breakdown and tunneling from process conditions Detailed process modeling of gate dielectric to optimize the thinnest SiO2/SiO x N y systems, metal gate Modeling of alternative gate dielectric materials (atomic scale) Rigorous quantum treatment of gate stack Simulated Si/SiO2 interface (K. Ng, D. Vanderbilt, PRB 59 April 1999)

Modeling and Simulation TWG Hsinchu Dec. 6, Difficult Challenges (beyond 2005): Nano-scale device modeling Model new device concepts (using quantum effect) beyond traditional MOS; single electron transistors, effect of single dopants, etc. Possibility: scaling of traditional MOS device slows or ends Advanced research needed: exploration of innovative MOS concepts and devices beyond MOS Emphasize system performance modeling of new devices Single electron transistor (J. Shirakashi, ETL, Tsukuba)

Modeling and Simulation TWG Hsinchu Dec. 6, Difficult Challenges (beyond 2005): Atomistic process modeling Develop models to model processing steps at the atomic scale with atomic scale accuracy Whatever direction technology takes, manipulation of atomic layer dimensions needed near end of the roadmap. Development of theoretical, simulation and instrumental infrastructure should start now Atomistic simulation of copper diffusion (A. Voter, LANL)

Modeling and Simulation TWG Hsinchu Dec. 6, Technology Requirements: Cost Reduction Goal of TCAD Technology Requirements: overall development cost reduction High volume 300mm wafer production in 2001: increased cost and fewer wafers for development Successful TCAD role in development of derivative processes More effort needed in predictive modeling, backend models

Modeling and Simulation TWG Hsinchu Dec. 6, Technology Requirements: Process TCAD (Accuracy) Thermal processes: lower temperature, shorter time Increased impact of interfaces, defects Experimental evaluation of dopant profiles still an issue Calibration is necessary for effective use

Modeling and Simulation TWG Hsinchu Dec. 6, Technology Requirements: Front End Process Modeling (Capabilities) Atomistic-level models required for new gate materials Point-defects issues still important Extended defects to be modeled properly in view of mechanical stresses and generation/recombination of point defects Short Term Long Term

Modeling and Simulation TWG Hsinchu Dec. 6, Technology Requirements: Device Simulation (Accuracy) <20nm gate oxides increases importance of gate current modeling Off current modeling and cut-off frequency modeling remain important issues

Modeling and Simulation TWG Hsinchu Dec. 6, Technology Requirements: Device Modeling (Capabilities) Quantum models needed for new gate stack materials Predictive models needed for reliablity issues: gate oxide (thinner oxide, new gate materials…), interconnects ( electro/stress migration…) Smaller devices more susceptible to EMS Short term Long Term

Modeling and Simulation TWG Hsinchu Dec. 6, Long term device modeling Modeling limits of MOS devices Noise margins Atomic-level fluctuations Reduction of yield due to statistical variation Modeling for innovative MOS and beyond dual-gate MOS SOI vertical MOS quantum effect devices? Others? Drain Source Gate Vertical MOS

Modeling and Simulation TWG Hsinchu Dec. 6, First Year of IC Production Potential Solutions: Interconnect models More accurate interconnect parasitic models are critical for high frequency design: Improved analytic capacitance models –2D and 3D –cross-talk On-chip inductance modeling –substrate models Transmission line; full EM wave solvers DEVICE MODELING (NUMERICAL) Interconnect models RLC, transmission line Full EM wave solutions CIRCUIT ELEMENT MODELING Interconnect models: Substrate models: Full EM Wave Transmission line Reduced order Interconnect eddy currents Capacitative coupling Substrate current injection { { {

Modeling and Simulation TWG Hsinchu Dec. 6, Potential Solutions: Circuit models: Transistor, Device 100nm transistor model SOI models (floating body effect) Flash (program/erase) DRAM (read/write) RF device models: –active devices, passive devices First Year of IC Production CIRCUIT ELEMENT MODELING Transistor models Non-quasi-static { Flash cell DRAM cell RF device models Program/erase Scattering parameters, Q factors 100 nm model Gate RLC Read/write SOI

Modeling and Simulation TWG Hsinchu Dec. 6, Potential Solutions: Reliability modeling Gate dielectric degradation (circuit, numerical) Electromigration (circuit) ESD, Latchup (circuit, numerical) SER (circuit, numerical) –DRAM and logic –alpha and neutrons First Year of IC Production Reliability modeling: Oxide TDDB Hot carrier Electromigration ESD, latchup { Oxide tunneling Metal reliability Monte Carlo, quantum effect

Modeling and Simulation TWG Hsinchu Dec. 6, Potential Solutions: New ITWG Recommendations (2000) Equipment Modeling: Equipment suppliers should supply physical models and modeling information with equipment Device Modeling: Focus efforts on limits to MOS, and alternatives beyond MOS Increase modeling efforts in opto-electronics Circuit Modeling: Communication of process effects to designers (within-die variation, more detailed interconnect parasitics) Increased effort on industry standard circuit models

Modeling and Simulation TWG Hsinchu Dec. 6, Summary Next two generations (130nm, 100nm) provide many modeling challenges: –High frequency circuit models (RLC, transistor) –Model cross-die variation due to litho, etch, thin film (e.g., OPC, CMP, equipment modeling) –Model lithography technology tradeoffs (resolution enhancement techniques, wavelength, PSM) –Goals for cost reduction due to TCAD Beyond 100nm, basic theoretical research needed: –Gate stack process and electrical model (atomic level) –Limts of MOS devices, innovative MOS devices and beyond University research funding necessary

Modeling and Simulation TWG Hsinchu Dec. 6, Modeling and Simulation Difficult Challenges