Hao-Hsuan, Liu IEE5011 –Autumn 2013 Memory Systems 3D DRAM using TSV technology Hao-Hsuan, Liu Department of Electronics Engineering National Chiao Tung.

Slides:



Advertisements
Similar presentations
MonolithIC 3D Inc., Patents Pending MonolithIC 3D ICs October MonolithIC 3D Inc., Patents Pending.
Advertisements

Assembly and Packaging TWG
Smart Refresh: An Enhanced Memory Controller Design for Reducing Energy in Conventional and 3D Die-Stacked DRAMs Mrinmoy Ghosh Hsien-Hsin S. Lee School.
MULTICORE PROCESSOR TECHNOLOGY.  Introduction  history  Why multi-core ?  What do you mean by multicore?  Multi core architecture  Comparison of.
National Tsing Hua University Po-Yang Hsu,Hsien-Te Chen,
Krit Athikulwongse, Dae Hyun Kim, Moongon Jung, and Sung Kyu Lim
Lecture 2: Modern Trends 1. 2 Microprocessor Performance Only 7% improvement in memory performance every year! 50% improvement in microprocessor performance.
1 Thermal Via Placement in 3D ICs Brent Goplen, Sachin Sapatnekar Department of Electrical and Computer Engineering University of Minnesota.
3D-MAPS: 3D Massively Parallel Processor with Stacked Memory Dae Hyun Kim, Krit Athikulwongse, Michael Healy, Mohammad Hossain, Moongon Jung, et al. Georgia.
Adapted from Digital Integrated Circuits, 2nd Ed. 1 IC Layout.
MICROELETTRONICA Design methodologies Lection 8. Design methodologies (general) Three domains –Behavior –Structural –physic Three levels inside –Architectural.
Spring 07, Jan 16 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Introduction Vishwani D. Agrawal James J. Danaher.
Analytical Thermal Placement for VLSI Lifetime Improvement and Minimum Performance Variation Andrew B. Kahng †, Sung-Mo Kang ‡, Wei Li ‡, Bao Liu † † UC.
Delay and Power Optimization with TSV-aware 3D Floorplanning M. A. Ahmed and M. Chrzanowska-Jeske Portland State University, Oregon, USA ISQED 2014.
Interconnection and Packaging in IBM Blue Gene/L Yi Zhu Feb 12, 2007.
Low Voltage Low Power Dram
VLSI System Design Pradondet Nilagupta Department of Computer Engineering Kasetsart University.
Technologies for Realizing Carbon Nano-Tube (CNT) Vias Clarissa Cyrilla Prawoto 26 November 2014.
3-Dimensional IC Fabrication Dominic DelVecchio Bradley Hensel.
ME381R Lecture 1 Overview of Microscale Thermal Fluid Sciences and Applications Dr. Li Shi Department of Mechanical Engineering The University of Texas.
ERD and Memory Architectures Paul Franzon Department of Electrical and Computer Engineering
Technology For Realizing 3D Integration By-Dipyaman Modak.
UNDERSTANDING THE ROLE OF THE POWER DELIVERY NETWORK IN 3-D STACKED MEMORY DEVICES Manjunath Shevgoor, Niladrish Chatterjee, Rajeev Balasubramonian, Al.
Dept. of Communications and Tokyo Institute of Technology
Comp-TIA Standards.  AMD- (Advanced Micro Devices) An American multinational semiconductor company that develops computer processors and related technologies.
Application of through-silicon-via (TSV) technology to making of high-resolution CMOS image sensors Name: Qian YU Student ID:
MonolithIC 3D Inc., Patents Pending MonolithIC 3D ICs RCAT approach 1 MonolithIC 3D Inc., Patents Pending.
Presentation for Advanced VLSI Course presented by:Shahab adin Rahmanian Instructor:Dr S. M.Fakhraie Major reference: 3D Interconnection and Packaging:
The Short, Medium and Long-Term Path to the 3D Ecosystem
Avogadro-Scale Engineering: Form and Function MIT, November 18, Three Dimensional Integrated Circuits C.S. Tan, A. Fan, K.N. Chen, S. Das, N.
Determining the Optimal Process Technology for Performance- Constrained Circuits Michael Boyer & Sudeep Ghosh ECE 563: Introduction to VLSI December 5.
Comparison of various TSV technology
Abhishek Pandey Reconfigurable Computing ECE 506.
Dong Hyuk Woo Nak Hee Seong Hsien-Hsin S. Lee
Kuang-Yu,Li 2013 IEE5011 –Autumn 2013 Memory Systems Duty Cycle Correctors (DCC) In GDDR5 SDRAM Kuang-Yu, Li Department of Electronics Engineering National.
Rev PA1 1 Exascale Node Model Following-up May 20th DMD discussion Updated, June 13th Sébastien Rumley, Robert Hendry, Dave Resnick, Anthony Lentine.
Robust Low Power VLSI ECE 7502 S2015 Minimum Supply Voltage and Very- Low-Voltage Testing ECE 7502 Class Discussion Elena Weinberg Thursday, April 16,
Interconnect Technologies and Drivers primary technologies: integration + optics driven primarily by servers/cloud computing thin wires → slow wires; limits.
Wei-Shen, Hsu 2013 IEE5011 –Autumn 2013 Memory Systems Solid State Drive with Flash Memory Wei-Shen, Hsu Department of Electronics Engineering National.
Yi-Lin, Tu 2013 IEE5011 –Fall 2013 Memory Systems Wide I/O High Bandwidth DRAM Yi-Lin, Tu Department of Electronics Engineering National Chiao Tung University.
Tezzaron Semiconductor 04/27/2015 New Trends in Advanced 3D Vertical Interconnect Technology 1.
Flip Chip Technology Kim Dong Hwan Microwave Device Term Project
1 CS/COE0447 Computer Organization & Assembly Language CHAPTER 1.
SEMINAR PRESENTATION ON IC FABRICATION PROCESS PREPARED BY: GUIDED BY: VAIBHAV RAJPUT(12BEC102) Dr. USHA MEHTA SOURABH JAIN(12BEC098)
SEMINAR PRESENTATION ON IC FABRICATION PROCESS PREPARED BY: GUIDED BY: VAIBHAV RAJPUT(12BEC102) Dr. USHA MEHTA SOURABH JAIN(12BEC098)
International Symposium on Low Power Electronics and Design A Charge Pump Based Receiver Circuit to Reduce Interconnect Power Dissipation Aatmesh Shrivastava,
02/21/2003 CART 1 On-chip MRAM as a High-Bandwidth, Low-Latency Replacement for DRAM Physical Memories Rajagopalan Desikan, Charles R. Lefurgy, Stephen.
Shih-Fan, Peng 2013 IEE5008 –Autumn 2013 Memory Systems DRAM Controller for Video Application Shih-Fan, Peng Department of Electronics Engineering National.
VLSI INTERCONNECTS IN VLSI DESIGN - PROF. RAKESH K. JHA
Preface.
Hardware Trojan (HT) Detection in 3-D IC Wafi Danesh Instructor: Dr. Christopher Allen EECS 713 High-Speed Digital Circuit Design Final Project Presentation.
Coupling and Cross-talk Analysis in High Speed Interconnects
Emerging Non-volatile Memories: Opportunities and Challenges
Overview of VLSI 魏凱城 彰化師範大學資工系. VLSI  Very-Large-Scale Integration Today’s complex VLSI chips  The number of transistors has exceeded 120 million 
1 Lecture 3: Memory Energy and Buffers Topics: Refresh, floorplan, buffers (SMB, FB-DIMM, BOOM), memory blades, HMC.
L i a b l eh kC o m p u t i n gL a b o r a t o r y Modeling TSV Open Defects in 3D-Stacked DRAM Li Jiang †, Liu Yuxi †, Lian Duan ‡, Yuan Xie ‡, and Qiang.
3D Technology and SRAM Simulation Advisor : Yi-Chang Lu Student : Chun-Yen Lin Graduate Institute of Electronics Engineering National Taiwan University.
Tezzaron Semiconductor 03/18/101 Advances in 3D Bob Patti, CTO
Die Stacking (3D) Microarchitecture Bryan Black, Murali Annavaram, Ned Brekelbaum, John DeVale, Lei Jiang, Gabriel H. Loh1, Don McCauley, Pat Morrow, Donald.
MarketsandMarkets Presents Global 3D IC and TSV Interconnect Market worth $6.55 billion by 2016
THREE-DIMENSIONAL INTEGRATED CIRCUITS As Integrated circuits become more and more miniaturized, the only direction to go may be … up! Raymond Do Rory NordeenRyan.
3D IC Technology.
3-D IC Fabrication and Devices
TECHNOLOGY TRENDS.
Technology advancement in computer architecture
International Journal of Control and Automation Vol. 6, No
Overview of VLSI 魏凱城 彰化師範大學資工系.
An Automated Design Flow for 3D Microarchitecture Evaluation
Energy Efficient Power Distribution on Many-Core SoC
Presentation transcript:

Hao-Hsuan, Liu IEE5011 –Autumn 2013 Memory Systems 3D DRAM using TSV technology Hao-Hsuan, Liu Department of Electronics Engineering National Chiao Tung University

NCTU IEE5011 Memory Systems 2013Hao-Hsuan, Liu Outline Introduction 3D Technology-TSV 3D Processor-DRAM Integration Impacts of TSV in 3D DRAM system Conclusion Reference 2

NCTU IEE5011 Memory Systems 2013Hao-Hsuan, Liu Introduction-Why we use 3D DRAM? Speed - Memory wall -The growing disparity of speed between CPU and memory Area Power Cost 3

NCTU IEE5011 Memory Systems 2013Hao-Hsuan, Liu 3D technology (a) 3D packaging technology - Wire bonding, flip-chip bonding, and thinned die to die bonding - Very low interconnect density (b) Transistor build-up 3D technology - High vertical interconnect density - Temperature constraint - Tend to degrade the performance 4

NCTU IEE5011 Memory Systems 2013Hao-Hsuan, Liu 3D technology (c) Monolithic wafer-level 3D technology - Wafer alignment - Bonding - Thinning - Inter-wafer interconnection - Realized by TSV can have very high density 5

NCTU IEE5011 Memory Systems 2013Hao-Hsuan, Liu Through-Silicon Via (TSV) Device Process: FEOL(Front-End OF Line)-> BEOL(Back)-> Bonding Via-First: - Before FEOL Via-Middle: - After FEOL, before BEOL Via-Last: - (1) After BEOL - (2) After Bonding[21] 6

NCTU IEE5011 Memory Systems 2013Hao-Hsuan, Liu Through-Silicon Via (TSV) 7 TSV process flow

NCTU IEE5011 Memory Systems 2013Hao-Hsuan, Liu Through-Silicon Via (TSV) Via-First - Vias are made before CMOS 8

NCTU IEE5011 Memory Systems 2013Hao-Hsuan, Liu Through-Silicon Via (TSV) Via-Middle - Vias are made between CMOS and BEOL 9

NCTU IEE5011 Memory Systems 2013Hao-Hsuan, Liu Through-Silicon Via (TSV) Via-Last( After BEOL before Bonding) - Vias are made after BEOL 10

NCTU IEE5011 Memory Systems 2013Hao-Hsuan, Liu Through-Silicon Via (TSV) Via-Last( After Bonding) - Vias are made after Bonding 11

NCTU IEE5011 Memory Systems 2013Hao-Hsuan, Liu 3D DRAM Integration Processor consume more energy and generate more heat Stacking a single processor with multiple DRAM dies Processor locates closest to the heat sink 12

NCTU IEE5011 Memory Systems 2013Hao-Hsuan, Liu 3D DRAM Integration 3D Process-DRAM integrated system architecture 13

NCTU IEE5011 Memory Systems 2013Hao-Hsuan, Liu 3D DRAM Integration Clearly, through-DRAM TSVs will - Impact the 3D DRAM design - Degrade DRAM storage capacity - Power consumption How to design the TSVs placement? 14

NCTU IEE5011 Memory Systems 2013Hao-Hsuan, Liu 3D DRAM Integration Proposed design to allocate through-DRAM TSVs 15

NCTU IEE5011 Memory Systems 2013Hao-Hsuan, Liu 3D DRAM Integration Signal TSVs - minimum allowable TSV size - Tend to occupy a very small area - At the center of DRAM dies Power TSVs - Much bigger impact and design trade-offs - Power consumption overhead Reduce the resistance of power TSVs Increase size 16

NCTU IEE5011 Memory Systems 2013Hao-Hsuan, Liu 3D DRAM Integration Propose to arrange a regular power TSV network around those DRAM sub-arrays 17

NCTU IEE5011 Memory Systems 2013Hao-Hsuan, Liu Impacts of TSV in 3D DRAM system Impacts of the size of each power TSV 18

NCTU IEE5011 Memory Systems 2013Hao-Hsuan, Liu Impacts of TSV in 3D DRAM system Impacts of the DRAM Sub-array Size 19

NCTU IEE5011 Memory Systems 2013Hao-Hsuan, Liu Impacts of TSV in 3D DRAM system Impacts of the DRAM substrate thickness 20

NCTU IEE5011 Memory Systems 2013Hao-Hsuan, Liu Impacts of TSV in 3D DRAM system Impacts of the contact resistance 21

NCTU IEE5011 Memory Systems 2013Hao-Hsuan, Liu Conclusion 3D DRAM integration drive innovational changes in performance, power, and cost. Through-silicon-via(TSV) is widely used in the 3D technology, and its parameter will impact the performance and power in 3D system A simple method to allocate power and signal TSVs lead to simply consider the trade-offs. 22

NCTU IEE5011 Memory Systems 2013Hao-Hsuan, Liu Reference [1]P. Kogge, et al., “Exascale computing study: Technology challenges in achieving exascale systems,” [2]F. Fishburn, et al., “A 78nm 6F2 DRAM Technology for Multigigabit Densities,” in VLSIT, [3]M. A. Horowitz, “Timing Models for MOS Circuits,” Stanford University,Tech. Rep., [4]J. Kim, et al., “A 1.2V 12.8GB/s 2Gb Mobile Wide-I/O DRAM with 4x128 I/Os Using TSV-Based Stacking,” in ISSCC, [5]K-H. Kyung, et al., “A 800Mb/s/pin 2Gb DDR2 SDRAM using an 80nm Triple Metal Technology,” in ISSCC, 2005, pp. 468–469. [6]M. Nakamura, et al., “A 29ns 64Mb DRAM with Hierarchical ArrayArchitecture,” in ISSCC. IEEE, 1995, pp. 246–247. [7]G. Katti, et al., “Electrical Modeling and Characterization of Through Silicon via for Three-Dimensional ICs,” IEEE Trans. on Electron Devices, no. 1, pp. 256–262, January

NCTU IEE5011 Memory Systems 2013Hao-Hsuan, Liu Reference [8]T.A.C.M. Claasen, “An Industry Perspective on Current and Future State of the Art in System-on-Chip (SoC) Technology,” Proceedings of the IEEE, vol. 94, pp. 1121–1137, June [9]J.-Q. Lu, T.S. Cale, and R.J. Gutmann, “Wafer-level three-dimensional hyper-integration technology using dielectric adhesive wafer bonding,” Materials for Information Technology: Devices, Interconnects and Packaging (Eds. E. Zschech, C. Whelan, T. Mikolajick), pp. 386–397, Springer- Verlag (London) Ltd, August [10]R.S. Patti, “Three-dimensional integrated circuits and the future of systemon-chip designs,” Proceedings of the IEEE, vol. 94, pp. 1214–1224, June2006. [11]K. Itoh, VLSI Memory Chip Design, Springer, [12]G. H. Loh, “3D-Stacked Memory Architectures for Multi-Core Processors,” in Proceedings of the 35th ACM/IEEE International Symposium on Computer Architecture, [13]G. H. Loh, Y. Xie, and B. Black, “Processor Design in 3D Die-Stacking Technologies,” IEEE Micro, vol. 27, pp. 31–48, May-June [14]Y.-F. Tsai, F. Wang, Y. Xie, N. Vijaykrishnan, and M. J. Irwin, “Design Space Exploration for 3-D Cache,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 16, pp. 444– 455, April

NCTU IEE5011 Memory Systems 2013Hao-Hsuan, Liu Reference [15]S. Thoziyoor, J. Ahn, M. Monchiero, J. B. Brockman, and N. P. Jouppi, “A Comprehensive Memory Modeling Tool and Its Application to the Design and Analysis of Future Memory Hierarchies,” in ISCA, [16]T. Vogelsang, “Understanding the Energy Consumption of Dynamic Random Access Memories,” in MICRO, 2010, pp. 363–374. [17]U. Kang, et al., “8 Gb 3-D DDR3 DRAM Using Through-Silicon-Via Technology,” JSSC, vol. 45, no. 1, pp. 111–119, 2010 [18]J. T. Pawlowski, “Hybrid Memory Cube (HMC),” in Proceedings of Hot Chips 23, [19]Y.-F. Tsai, Y. Xie, V. Narayanan, and M. J. Irwin, “Three-Dimensional Cache Design Exploration Using 3D Cacti,” in ICCD, 2005 [20]I. Savidis and E. Friedman, “Closed-Form Expressions of 3-D Via Resistance, Inductance, and Capacitance,” IEEE Trans. on Electron Devices, vol. 56, no. 9, September [21]R. Weerasekera, et al., “Compact Modelling of Through-Silicon Vias (TSVs) in Three- Dimensional (3-D) Integrated Circuits,” in 3DIC,

NCTU IEE5011 Memory Systems 2013Hao-Hsuan, Liu Thanks for your attention! 26