On Timing- Independent False Path Identification Feng Yuan, Qiang Xu Cuhk Reliable Computing Lab, The Chinese University of Hong Kong ICCAD 2010.

Slides:



Advertisements
Similar presentations
Automatic Test Generation and Logic Optimization.
Advertisements

Appendix: Other ATPG algorithms 1. TOPS – Dominators Kirkland and Mercer (1987) n Dominator of g – all paths from g to PO must pass through the dominator.
1 COMP541 Flip-Flop Timing Montek Singh Oct 6, 2014.
An Algorithm for Diagnostic Fault Simulation Yu Zhang Vishwani D. Agrawal Auburn University, Auburn, Alabama USA 13/29/2010IEEE LATW 10.
Timing Analysis - Delay Analysis Models
1 Pattern-Directed Circuit Virtual Partitioning for Test Power Reduction Qiang Xu The Chinese University of Hong Kong Dianwei Hu and Dong Xiang Tsinghua.
Xing Wei, Wai-Chung Tang, Yu-Liang Wu Department of Computer Science and Engineering The Chinese University of HongKong
Clock Skewing EECS 290A Sequential Logic Synthesis and Verification.
Post-Placement Voltage Island Generation for Timing-Speculative Circuits Rong Ye†, Feng Yuan†, Zelong Sun†, Wen-Ben Jone§ and Qiang Xu†‡
An Optimal Algorithm of Adjustable Delay Buffer Insertion for Solving Clock Skew Variation Problem Juyeon Kim, Deokjin Joo, Taehan Kim DAC’13.
Partial Implications, etc.
Jan. 29, 2002Gaur, et al.: DELTA'021 A New Transitive Closure Algorithm with Application to Redundancy Identification Vivek Gaur Avant! Corp., Fremont,
Yu Zhang Vishwani D. Agrawal Auburn University, Auburn, Alabama /13/2010 NATW 10 1 A Diagnostic Test Generation System.
A Diagnostic Test Generation System Yu Zhang Vishwani D. Agrawal Auburn University, Auburn, Alabama USA Nov. 3rdITC
NTHU-CS 1 Performance-Optimal Clustering with Retiming for Sequential Circuits Tzu-Chieh Tien and Youn-Long Lin Department of Computer Science National.
6/11/2015A Fault-Independent etc…1 A Fault-Independent Transitive Closure Algorithm for Redundancy Identification Vishal J. Mehta Kunal K. Dave Vishwani.
Dec. 19, 2005ATS05: Agrawal and Doshi1 Concurrent Test Generation Auburn University, Department of Electrical and Computer Engineering Auburn, AL 36849,
Concurrent Test Generation Auburn University, Department of Electrical and Computer Engineering Auburn, AL 36849, USA Vishwani D. Agrawal Alok S. Doshi.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 11alt1 Lecture 11alt Advances in Combinational ATPG Algorithms  Branch and Bound Search  FAN – Multiple.
TH EDA NTHU-CS VLSI/CAD LAB 1 Re-synthesis for Reliability Design Shih-Chieh Chang Department of Computer Science National Tsing Hua University.
5/7/2007VTS'071 Delay Test Quality Evaluation Using Bounded Gate Delays Soumitra Bose Intel Corporation, Design Technology, Folsom, CA Vishwani D.
1 Oct 24-26, 2006 ITC'06 Fault Coverage Estimation for Non-Random Functional Input Sequences Soumitra Bose Intel Corporation, Design Technology, Folsom,
Spring 07, Mar 8 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Timing Verification and Optimization Vishwani D.
1 Fault Nodes in Implication Graph for Equivalence/Dominance Collapsing, and Identifying Untestable and Independent Faults R. Sethuram
Efficient Reachability Checking using Sequential SAT G. Parthasarathy, M. K. Iyer, K.-T.Cheng, Li. C. Wang Department of ECE University of California –
January 16, '02Agrawal: Delay testing1 Delay Testing of Digital Circuits Vishwani D. Agrawal Agere Systems, Murray Hill, NJ USA
Rewiring – Review, Quantitative Analysis and Applications Matthew Tang Wai Chung CUHK CSE MPhil 10/11/2003.
Test Wrapper Designs for the Detection of Signal Integrity Faults on Core External Interconnects of SOCs Qiang Xu and Yubin ZhangKrishnendu Chakrabarty.
Laboratory of Reliable Computing Department of Electrical Engineering National Tsing Hua University Hsinchu, Taiwan Delay Defect Characteristics and Testing.
Spring 08, Feb 6 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2008 Timing Verification and Optimization Vishwani D.
黃錫瑜 Shi-Yu Huang National Tsing-Hua University, Taiwan Speeding Up Byzantine Fault Diagnosis Using Symbolic Simulation.
L i a b l eh kC o m p u t i n gL a b o r a t o r y On Effective and Efficient In-Field TSV Repair for Stacked 3D ICs Presenter: Li Jiang Li Jiang †, Fangming.
Functional Timing Analysis Made Fast and General Presenter: Yi-Ting Chung Advisor: Jie-Hong Roland Jiang 03/09/2012 Graduate Institute of Electronics Engineering,
MGR: Multi-Level Global Router Yue Xu and Chris Chu Department of Electrical and Computer Engineering Iowa State University ICCAD
EE466: VLSI Design Power Dissipation. Outline Motivation to estimate power dissipation Sources of power dissipation Dynamic power dissipation Static power.
L i a b l eh kC o m p u t i n gL a b o r a t o r y Yield Enhancement for 3D-Stacked Memory by Redundancy Sharing across Dies Li Jiang, Rong Ye and Qiang.
POWER-DRIVEN MAPPING K-LUT-BASED FPGA CIRCUITS I. Bucur, N. Cupcea, C. Stefanescu, A. Surpateanu Computer Science and Engineering Department, University.
MBSat Satisfiability Program and Heuristics Brief Overview VLSI Testing B Marc Boulé April 2001 McGill University Electrical and Computer Engineering.
False Path. Timing analysis problems We want to determine the true critical paths of a circuit in order to: –To determine the minimum cycle time that.
Accelerating Statistical Static Timing Analysis Using Graphics Processing Units Kanupriya Gulati and Sunil P. Khatri Department of ECE, Texas A&M University,
THE TESTING APPROACH FOR FPGA LOGIC CELLS E. Bareiša, V. Jusas, K. Motiejūnas, R. Šeinauskas Kaunas University of Technology LITHUANIA EWDTW'04.
Presenter: Hong-Wei Zhuang X-Tracer: A Reconfigurable X- Tolerant Trace Compressor for Silicon Debug Feng Yuan Dept. of Comput. Sci. & Eng., Chinese Univ.
TOPIC : Introduction to Compression Techniques UNIT 5 : BIST and BIST Architectures Module 5.4 Compression Techniques.
1 Compacting Test Vector Sets via Strategic Use of Implications Kundan Nepal Electrical Engineering Bucknell University Lewisburg, PA Nuno Alves, Jennifer.
Test Architecture Design and Optimization for Three- Dimensional SoCs Li Jiang, Lin Huang and Qiang Xu CUhk Reliable Computing Laboratry Department of.
Functional Test of Small-Delay Faults using SAT and Craig Interpolation Presenter: Chien-Yen Kuo.
Properties Incompleteness Evaluation by Functional Verification IEEE TRANSACTIONS ON COMPUTERS, VOL. 56, NO. 4, APRIL
VLSI Test Symposium, 2011 Nuno Alves, Yiwen Shi, and R. Iris Bahar School of Engineering, Brown University, Providence, RI Jennifer Dworak Department of.
Static Timing Analysis
Timing Behavior of Gates
Courtesy RK Brayton (UCB) and A Kuehlmann (Cadence) 1 Logic Synthesis Timing Optimization.
TOPIC : Fault detection and fault redundancy UNIT 2 : Fault modeling Module 2.3 Fault redundancy and Fault collapsing.
L i a b l eh kC o m p u t i n gL a b o r a t o r y Modeling TSV Open Defects in 3D-Stacked DRAM Li Jiang †, Liu Yuxi †, Lian Duan ‡, Yuan Xie ‡, and Qiang.
Gill 1 MAPLD 2005/234 Analysis and Reduction Soft Delay Errors in CMOS Circuits Balkaran Gill, Chris Papachristou, and Francis Wolff Department of Electrical.
COE-571 Digital System Testing A Pattern Ordering Algorithm for Reducing the Size of Fault Dictionaries Authors: P. Bernardi, M. Grosso, M. Rebaudengo,
CUHK Test and Fault-Tolerance for Timing Error Presenter: Feng Yuan.
Yuxi Liu The Chinese University of Hong Kong Circuit Timing Problem Driven Optimization.
Hybrid BDD and All-SAT Method for Model Checking
Timing issues.
Timing Analysis 11/21/2018.
Pattern Compression for Multiple Fault Models
MIN AND MAX TIMING PATHS
Vishwani D. Agrawal James J. Danaher Professor
Automatic Test Generation for Combinational Circuits
Aiman H. El-Maleh Sadiq M. Sait Syed Z. Shazli
Automatic Test Pattern Generation
Institute of Computing Tech.
VLSI Testing Lecture 7: Delay Test
Recording Synthesis History for Sequential Verification
Theorems on Redundancy Identification
Presentation transcript:

On Timing- Independent False Path Identification Feng Yuan, Qiang Xu Cuhk Reliable Computing Lab, The Chinese University of Hong Kong ICCAD 2010

Outline  Introduction  Preliminaries  False Path Examination  Method  Experimental Results & Conclusion

Outline  Introduction  Preliminaries  False Path Examination  Method  Experimental Results & Conclusion

Introduction  False Path  The test vector which cannot propagate in function mode.  Used in STA of timing-driven placement.  In manufacturing testing is unnecessary and may cause over-testing.  Optimization does not help to improve the performance of the circuit.

Introduction (cont.)  Kinds of False Path  Timing-don’t-care false paths  Path in async. clock domain crossovers  Timing-independent false paths  Logically unsensitizable in function mode  Delay-dependent false paths  Logically sensitizable but dominated by one or more side-input signals all the time

Outline  Introduction  Preliminaries  False Path Examination  Method  Experimental Results & Conclusion

Illegal State Identification  Previous Work[12]

False Path caused by Illegal State  If a path is activated only with illegal states in the circuit, this path is a false path.

Outline  Introduction  Preliminaries  False Path Examination  Method  Experimental Results & Conclusion

Controlling Signal 0 x 0 1 x x 1 x 1 0 x x

Criterion  A path is a timing-independent false path iff there exist at least one on-path signal such that when it is a non-controlling value, one or more of its corresponding side-input signals are with controlling values in function mode.  Meet some illegal state?

Criterion (cont.)  A path is not a timing-independent false path iff there any on-path signal such that when it is a non-controlling value, one or more of its corresponding side-input signals are with non-controlling values in function mode.

Path Sensitizaton  Given a path P, to determine whether it is a timing-independent false path.  Propagate logic ‘0’and ‘1’ at launch point.

Proposed Examination Procedure  Phantom logic AND gate  Use AND gates and inverters to represent the illegal states.  Set output of AND gates to be logic ‘0’

Outline  Introduction  Preliminaries  False Path Examination  Method  Experimental Results & Conclusion

Find False Path  The number of false paths is exponential to circuit size.  Find the root cause structures  Prime False path segment

Static Implication Learning  Consider illegal state: {FF0(1), FF2(1)}  Conduct implication for the inverse values of FF0(0), FF2(0) independently  FF0(0)=>B(0)=>G(0)  FF2(0)=>A(0)=>C(1) =>D(0)=>F(1)  Use counter-positive low

Suspicious Node Extraction  Suspicious Node  Starting point of S-Frontier  All the possible false segments can be detect.  The selected points are as less as possible.  Affect Node  The nodes have implications after Static Implication Learning.  Not all the affect nodes need to consider as the starting points.

S-Frontier Propagation  Do a BFS process to launch nodes with 0(1)  Created at each suspicious node  Launch 0(1) and propagate to new node  Add the implication and check if meet the illegal state.  Check the starting point is already in existing false path segment to avoid finding the same segment.

Outline  Introduction  Preliminaries  False Path Examination  Method  Experimental Results & Conclusion

Experiment Results  Benchmark  ISCAS’89  IWLS 2005  Environment  2GHz PC  1GB memory  Competitor  [5] Fast Identification of Untestable Delay Faults Using Implications

Experiment Results (cont.)  Use PrimeTime to fetch 5000 critical paths  Worse Case Delay(WCD)  Report the true critical paths delay

Experiment Results (cont.)  Use academic ATPG tool Atalanta [7]  Check whether we can find a solution to activate them.

Conclusion  Develop novel false path identification techniques by taking illegal states in the circuit into consideration.  The proposed solution find much more false paths than existing FPI techniques.