Code Converters Section 3-4 Mano & Kime.

Slides:



Advertisements
Similar presentations
Machine cycle.
Advertisements

7-Segment Displays Lecture L6.1 Section 6.3. Turning on an LED.
The Anatomy of a Zip File
LOGIC DESIGN AND CIRCUITS SEVEN SEGMENT LED DISPLAY Res. Assist. Hale İnan 1.
Combinational Logic Design
7-Segment Displays Lecture L6.7 Section 6.5. Turning on an LED.
7-Segment Display: Spartan-3 board
Top-level VHDL Designs
A Simple Microcontroller VHDL Tutorial R. E. Haskell and D. M. Hanna T6: VHDL State Machines.
Single-Cycle Instructions VHDL Tutorial R. E. Haskell and D. M. Hanna T5: VHDL ROM.
Digilent Spartan 3 Board Lecture L2.2
Structural VHDL VHDL Tutorial R. E. Haskell and D. M. Hanna T3: ALU Design.
Finite State Machines Discussion D7.1 Mealy and Moore Machines.
7-Segment Display DIO1 Board. Digilab2 – DIO1 Boards Four 7-segment displays A0A1A2A3.
Introduction to VHDL Multiplexers. Introduction to VHDL VHDL is an acronym for VHSIC (Very High Speed Integrated Circuit) Hardware Description Language.
Lab 2 4-Bit Adder Digilent Spartan 3 Board Lecture L2.3.
LEDs 7-Segment Displays
Finite State Machines Mano and Kime Sections 4-4, 4-5, 4-8.
From Transistors To Computers. Gajski and Kuhn’s Y Chart Physical/Geometry Structural Behavioral Processor Hardware Modules ALUs, Registers Gates, FFs.
Digilab 7-Segment Displays Lab 4. selyInstruction name “000”true if b = a false otherwise = “001”true if b /= a false otherwise “010”true if b < a.
Arithmetic Logic Unit (ALU) Lecture L9.3 Lab 10. ALU CB = carry_borrow flag Z = zero flag (Z = 1 if Y = 0)
Switches, Pushbuttons, and LEDs Digilent Spartan 3 Board Lecture L2.1.
Logic Design Fundamentals - 2 Lecture L1.2. Logic Design Fundamentals - 2 Basic Gates Basic Combinational Circuits Basic Sequential Circuits.
Digilent Spartan 3 Board Discussion D3.3
7-Segment Displays Digilent Spartan 3 Board Discussion DS-4.2.
Decoders and Encoders Sections 3-5, 3-6 Mano & Kime.
7-Segment Displays Module M7.2 Section 6.5. Turning on an LED Common Anode.
Introduction to VHDL Multiplexers Discussion D1.1.
Lab 3 & 4 Discussion EE414/514 VHDL Design September 25.
Chapter 3 Combinational Logic Design
7-Segment Displays VHDL Tutorial R. E. Haskell and D. M. Hanna T4: Xilinx LogiBLOX.
Registers Lab 5 Mano and Kime Sections 5-2, 5-3, 5-7.
Introduction to Verilog Multiplexers. Introduction to Verilog Verilog Hardware Description Language (Verilog HDL) released by Gateway Design Automation.
7-Segment Display DIO1 Board Verilog.
VHDL Examples Subra Ganesan Reference: Professor Haskell’s Notes,
Lab 6 :Digital Display Decoder: 7 Segment LED display Slide #2 Slide #3 Slide #4 Slide #5 Slide #6 Slide #7 Display Decoder Fundamentals LT Control Input.
Digital Outputs 7-Segment Display
Lab 12 : Liquid Crystal Displays: Slide #2 Slide #3 7-Segment LCD: XOR gate review 7-Segment LCD: System Operation.
ECE 448: Spring 12 Lab 4 – Part 2 Finite State Machines Basys2 FPGA Board.
LAB 9 Finite State Machine (FSM) Ui Luu Glendale Community College Bassam Matar Chandler-Gilbert Community College.
1 Keyboard Controller Design By Tamas Kasza Digital System Design 2 (ECE 5572) Summer 2003 A Project Proposal for.
Digilab2 DIO1 Board. Digilab2 – DIO1 Boards 50 MHz clock mclk Prom socket Spartan IIE.
ENG2410 Digital Design LAB #8 LAB #8 Data Path Design.
ECE 448: Lab 5 Serial Communications. Part 1: Serial Communications Part 2: Clock Management Part 3: Clock Domains Part 4: User Constraint File (UCF)
ECE 448: Spring 11 Lab 3 Part 1 Sequential Logic for Synthesis.
The Central Processing Unit (CPU) and the Machine Cycle.
ENG2410 Digital Design LAB #5 Modular Design and Hierarchy using VHDL.
Chapter 3 Digital Logic Structures. Copyright © The McGraw-Hill Companies, Inc. Permission required for reproduction or display. 3-2 Complete Example.
displayCtrlr Specification
CascadedBCDCntr&Display Aim : Capture, simulate and implement a 2-digit, loadable BCD up/down counter, with chip enable I/P (CE) and chip enable O/P (CEO).
Lab 4 Report Comments: Procedure Ordering in lab reportProcedure Ordering in lab report report should follow logical flow of what you did in experiment:
ECE 448: Spring 11 Lab 3 Part 2 Finite State Machines.
Reaction Timer Project
SIMON Presented By: Amanda Buczkowski James Jenkins Fadi Hanna.
Dept. of Electrical and Computer Eng., NCTU 1 Lab 4. BCD Adder Presenter: Chun-Hsien Ko Contributors: Chung-Ting Jiang and Lin-Kai Chiu.
CS/EE 3700 : Fundamentals of Digital System Design
1 CS 151: Digital Design Chapter 3: Combinational Logic Design 3-1Design Procedure CS 151: Digital Design.
CS151 Introduction to Digital Design Chapter 3: Combinational Logic Design 3-1 Design Procedure 1Created by: Ms.Amany AlSaleh.
BCD S EVEN SEGMENT D ECODER. I NTRODUCTION  A Decoder IC, is a device which converts one digital format into another and the most commonly used device.
CSI-2111 Structure of Computers Ipage Combinational Circuits  Objectives : To recognize the principal types of combinational circuits  Adders.
USING CONDITIONAL CODE AMIR KHANZADA. Conditional Statement  Conditional statements are the set of commands used to perform different actions based on.
ECE DIGITAL LOGIC LECTURE 11: STANDARD CIRCUITS Assistant Prof. Fareena Saqib Florida Institute of Technology Fall 2015, 09/24/2015.
Tutorial 9 Module 8 – 8.1,8.2,8.3. Question 1 Distinguish between vectored and non-vectored interrupts with an example Build a hardware circuit that can.
1 Combinational Logic Design.  A process with 5 steps Specification Formulation Optimization Technology mapping Verification  1 st three steps and last.
LAB #5 Modular Design and Hierarchy using VHDL
Display Devices 7 segment led display.
ENG6530 Reconfigurable Computing Systems
Mano and Kime Sections 7-6 – 7-8
Fast, Asynchronous SRAM
Logic Design LAB 7 授課老師:伍紹勳 課程助教:邱麟凱、江長庭.
Presentation transcript:

Code Converters Section 3-4 Mano & Kime

Code Converters BCD-to Excess-3 Code Converter Hex-to-Seven-Segment Decoder Lab 3 – ALU2 Arithmetic and Logic Instructions 7-Segment Decoder

BCD-to Excess-3 Code Converter

Maps for BCD-to-Excess-3 Code Converter

Logic Diagram of BCD-to-Excess-3 Code Converter

Excess3 inp(3:0) outp(3:0)

Note: inp(3:0) = [A, B, C, D] outp(3:0) = [W, X, Y, Z]

Code Converters BCD-to Excess-3 Code Converter Hex-to-Seven-Segment Decoder Lab 3 – ALU2 Arithmetic and Logic Instructions 7-Segment Decoder

Hex-to-Seven-Segment Decoder

Turning on an LED

7-Segment Display

7-Segment Display a b c d e f g 0 1 1 1 1 1 1 0 1 0 1 1 0 0 0 0 0 1 1 1 1 1 1 0 1 0 1 1 0 0 0 0 2 1 1 0 1 1 0 1 3 1 1 1 1 0 0 1 4 0 1 1 0 0 1 1 5 1 0 1 1 0 1 1 6 1 0 1 1 1 1 1 7 1 1 1 0 0 0 0

7-Segment Display a b c d e f g 8 1 1 1 1 1 1 1 9 1 1 1 1 0 1 1 8 1 1 1 1 1 1 1 9 1 1 1 1 0 1 1 A 1 1 1 0 1 1 1 b 0 0 1 1 1 1 1 C 1 0 0 1 1 1 0 d 0 1 1 1 1 0 1 E 1 0 0 1 1 1 1 F 1 0 0 0 1 1 1

Hex-to-Seven-Segment Decoder

a b c d e f g Note: a – g LOW to turn ON

Code Converters BCD-to Excess-3 Code Converter Hex-to-Seven-Segment Decoder Lab 3 – ALU2 Arithmetic and Logic Instructions 7-Segment Decoder

ALU2 – Arithmetic and Logic Instructions sel y Instruction name “000” a + b + “001” b - a - “010” a and b AND “011” a or b OR “100” a xor b XOR “101” true if a = 0 false otherwise 0= “110” true if a < 0 0< “111” true if b > a (unsigned) U> true = all 1’s false = all 0’s

Digilab2 – DIO1 Boards Four 7-segment displays dig1 dig2 dig3 dig4

Digilab2 Board – Common Anodes Pins A1 A2 A3 A4 Pins AtoG(6 downto 0)

Digilab XLA LEDs 7-segment displays pushbuttons switches

Digilab Board dig4 dig3 dig2 dig1

Digilab XLA Board – Common Anodes Pins A4 A3 A2 A1 Pins CA CB CC CD CE CF CG

Top-level Design – Lab 3 Display y on dig4 ldg Lab3 a(3:0) ALU2 sel(2:0) y SW(5:8) A(1:4) BTN(1:3) ldg ‘1’ SW(1:4) b(3:0) AtoG(6:0) seg7dec q AtoG “0001” LD(1:8) y1 Display y on dig4