UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.

Slides:



Advertisements
Similar presentations
ITRS December 2003, Hsin-Chu Taiwan How Much Variability Can Designers Tolerate? Andrew B. Kahng ITRS Design ITWG December 1, 2003.
Advertisements

Design Rule Generation for Interconnect Matching Andrew B. Kahng and Rasit Onur Topaloglu {abk | rtopalog University of California, San Diego.
OCV-Aware Top-Level Clock Tree Optimization
-1- VLSI CAD Laboratory, UC San Diego Post-Routing BEOL Layout Optimization for Improved Time- Dependent Dielectric Breakdown (TDDB) Reliability Tuck-Boon.
Timing Margin Recovery With Flexible Flip-Flop Timing Model
Minimum Implant Area-Aware Gate Sizing and Placement
PERFECT Empower Project: Prototype Software Releases PI: Massoud Pedram Co-PIs: Murali Annavaram and Kaushik Roy (Purdue) July 17, 2014.
Average Gate-width (W Avg ) computation Diffusion boundary may not be straight  match diffusion area in dotted rectangle (a, b > misalignment tolerance)
0 1 Width-dependent Statistical Leakage Modeling for Random Dopant Induced Threshold Voltage Shift Jie Gu, Sachin Sapatnekar, Chris Kim Department of Electrical.
Rasit Onur Topaloglu University of California San Diego Computer Science and Engineering Department Ph.D. candidate “Location.
1 Closed-Loop Modeling of Power and Temperature Profiles of FPGAs Kanupriya Gulati Sunil P. Khatri Peng Li Department of ECE, Texas A&M University, College.
1 A Lithography-friendly Structured ASIC Design Approach By: Salman Goplani* Rajesh Garg # Sunil P Khatri # Mosong Cheng # * National Instruments, Austin,
Dual Graph-Based Hot Spot Detection Andrew B. Kahng 1 Chul-Hong Park 2 Xu Xu 1 (1) Blaze DFM, Inc. (2) ECE, University of California at San Diego.
Background: Scan-Based Delay Fault Testing Sequentially apply initialization, launch test vector pairs that differ by 1-bit shift A vector pair induces.
Puneet Sharma and Puneet Gupta Prof. Andrew B. Kahng Prof. Dennis Sylvester System-Level Living Roadmap Annual Review, Sept Basic Ideas Gate-length.
Detailed Placement for Improved Depth of Focus and CD Control Puneet Gupta 1 Andrew B. Kahng 1,2 Chul-Hong Park 2 1 Blaze DFM,
Power-Aware Placement
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
Design Sensitivities to Variability: Extrapolations and Assessments in Nanometer VLSI Y. Kevin Cao *, Puneet Gupta +, Andrew Kahng +, Dennis Sylvester.
Architectural-Level Prediction of Interconnect Wirelength and Fanout Kwangok Jeong, Andrew B. Kahng and Kambiz Samadi UCSD VLSI CAD Laboratory
Enhanced Resist and Etch CD Control by Design Perturbation Abstract Etch dummy features are used to reduce CD skew between resist and etch processes and.
Study of Floating Fill Impact on Interconnect Capacitance Andrew B. Kahng Kambiz Samadi Puneet Sharma CSE and ECE Departments University of California,
On Modeling and Sensitivity of Via Count in SOC Physical Implementation Kwangok Jeong Andrew B. Kahng.
UC San Diego Computer Engineering. VLSI CAD Laboratory.. UC San Diego Computer EngineeringVLSI CAD Laboratory.. UC San Diego Computer EngineeringVLSI CAD.
Chung-Kuan Cheng†, Andrew B. Kahng†‡,
DPIMM-03 1 Performance-Impact Limited Area Fill Synthesis Yu Chen, Puneet Gupta, Andrew B. Kahng (UCLA, UCSD) Supported by Cadence.
On-Line Adjustable Buffering for Runtime Power Reduction Andrew B. Kahng Ψ Sherief Reda † Puneet Sharma Ψ Ψ University of California, San Diego † Brown.
1 UCSD VLSI CAD Laboratory ISQED-2009 Revisiting the Linear Programming Framework for Leakage Power vs. Performance Optimization Kwangok Jeong, Andrew.
Toward Performance-Driven Reduction of the Cost of RET-Based Lithography Control Dennis Sylvester Jie Yang (Univ. of Michigan,
Fill for Shallow Trench Isolation CMP Andrew B. Kahng 1,2 Puneet Sharma 1 Alexander Zelikovsky 3 1 ECE Department, University of California – San Diego.
Jan. 2007VLSI Design '071 Statistical Leakage and Timing Optimization for Submicron Process Variation Yuanlin Lu and Vishwani D. Agrawal ECE Dept. Auburn.
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
A Cost-Driven Lithographic Correction Methodology Based on Off-the-Shelf Sizing Tools.
Toward a Methodology for Manufacturability-Driven Design Rule Exploration Luigi Capodieci, Puneet Gupta, Andrew B. Kahng, Dennis Sylvester, and Jie Yang.
Circuit Performance Variability Decomposition Michael Orshansky, Costas Spanos, and Chenming Hu Department of Electrical Engineering and Computer Sciences,
Fall 06, Sep 14 ELEC / Lecture 5 1 ELEC / (Fall 2006) Low-Power Design of Electronic Circuits (Formerly ELEC / )
Detailed Placement for Leakage Reduction Using Systematic Through-Pitch Variation Andrew B. Kahng †‡ Swamy Muddu ‡ Puneet Sharma ‡ CSE † and ECE ‡ Departments,
Defocus-Aware Leakage Estimation and Control Andrew B. Kahng †‡ Swamy Muddu ‡ Puneet Sharma ‡ CSE † and ECE ‡ Departments, UC San Diego.
Topography-Aware OPC for Better DOF margin and CD control Puneet Gupta*, Andrew B. Kahng*†‡, Chul-Hong Park†, Kambiz Samadi†, and Xu Xu‡ * Blaze-DFM Inc.
UC San Diego Computer Engineering. VLSI CAD Laboratory.. UC San Diego Computer EngineeringVLSI CAD Laboratory.. UC San Diego Computer EngineeringVLSI CAD.
Selective Gate-Length Biasing for Cost-Effective Runtime Leakage Control Puneet Gupta 1 Andrew B. Kahng 1 Puneet Sharma 1 Dennis Sylvester 2 1 ECE Department,
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
Timing Analysis and Optimization Implications of Bimodal CD Distribution in Double Patterning Lithography Kwangok Jeong and Andrew B. Kahng VLSI CAD LABORATORY.
Noise and Delay Uncertainty Studies for Coupled RC Interconnects Andrew B. Kahng, Sudhakar Muddu † and Devendra Vidhani ‡ UCLA Computer Science Department,
DDRO: A Novel Performance Monitoring Methodology Based on Design-Dependent Ring Oscillators Tuck-Boon Chan †, Puneet Gupta §, Andrew B. Kahng †‡ and Liangzhen.
Enhanced Metamodeling Techniques for High-Dimensional IC Design Estimation Problems Andrew B. Kahng, Bill Lin and Siddhartha Nath VLSI CAD LABORATORY,
UC San Diego / VLSI CAD Laboratory Reliability-Constrained Die Stacking Order in 3DICs Under Manufacturing Variability Tuck-Boon Chan, Andrew B. Kahng,
-1- UC San Diego / VLSI CAD Laboratory Methodology for Electromigration Signoff in the Presence of Adaptive Voltage Scaling Wei-Ting Jonas Chan, Andrew.
A Methodology for Interconnect Dimension Determination By: Jeff Cobb Rajesh Garg Sunil P Khatri Department of Electrical and Computer Engineering, Texas.
Dose Map and Placement Co-Optimization for Timing Yield Enhancement and Leakage Power Reduction Kwangok Jeong, Andrew B. Kahng, Chul-Hong Park, Hailong.
Advanced Computing and Information Systems laboratory Device Variability Impact on Logic Gate Failure Rates Erin Taylor and José Fortes Department of Electrical.
Accuracy-Configurable Adder for Approximate Arithmetic Designs
A New Methodology for Reduced Cost of Resilience Andrew B. Kahng, Seokhyeong Kang and Jiajia Li UC San Diego VLSI CAD Laboratory.
Seongbo Shim, Yoojong Lee, and Youngsoo Shin Lithographic Defect Aware Placement Using Compact Standard Cells Without Inter-Cell Margin.
UC San Diego / VLSI CAD Laboratory Toward Quantifying the IC Design Value of Interconnect Technology Improvement Tuck-Boon Chan, Andrew B. Kahng, Jiajia.
A NEW ECO TECHNOLOGY FOR FUNCTIONAL CHANGES AND REMOVING TIMING VIOLATIONS Jui-Hung Hung, Yao-Kai Yeh,Yung-Sheng Tseng and Tsai-Ming Hsieh Dept. of Information.
-1- UC San Diego / VLSI CAD Laboratory Construction of Realistic Gate Sizing Benchmarks With Known Optimal Solutions Andrew B. Kahng, Seokhyeong Kang VLSI.
Kwangsoo Han, Andrew B. Kahng, Hyein Lee and Lutong Wang
Kwangsoo Han‡, Andrew B. Kahng‡† and Hyein Lee‡
Outline Introduction: BTI Aging and AVS Signoff Problem
Eyecharts: Constructive Benchmarking of Gate Sizing Heuristics Puneet Gupta, University of California, Los Angeles Andrew B. Kahng, University of California,
Physical Synthesis Buffer Insertion, Gate Sizing, Wire Sizing,
Design For Manufacturability in Nanometer Era
Department of Electrical and Computer Engineering University of Wisconsin - Madison Optimizing Total Power of Many-core Processors Considering Voltage.
Interconnect Driver Design for Long Wires in FPGAs Edmund Lee University of British Columbia Electrical & Computer Engineering MASc Thesis Presentation.
-1- UC San Diego / VLSI CAD Laboratory Optimization of Overdrive Signoff Tuck-Boon Chan, Andrew B. Kahng, Jiajia Li and Siddhartha Nath Tuck-Boon Chan,
-1- Delay Uncertainty and Signal Criticality Driven Routing Channel Optimization for Advanced DRAM Products Samyoung Bang #, Kwangsoo Han ‡, Andrew B.
PROCEED: Pareto Optimization-based Circuit-level Evaluation Methodology for Emerging Devices Shaodi Wang, Andrew Pan, Chi-On Chui and Puneet Gupta Department.
Challenges in Nanoelectronics: Process Variability
Impact of Parameter Variations on Multi-core chips
Presentation transcript:

UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory Previously proposed gate-length biasing (Gupta et al. ’04) selectively increases the gate length of devices to reduce leakage at the cost of device delay increase. Only the devices on non-critical paths are biased for minimum circuit delay penalty. Biasing a device can prevent biasing other devices so order in which devices are biased is important. Bias in order of decreasing sensitivity (≡ leakage improvement/delay penalty).  Accurate estimation of leakage is important. We add “defocus awareness” by substituting leakage estimation in gate-length biasing with our defocus-aware estimation. Results Leakage after traditional and defocus-aware gate-length biasing. Optimized for nominal process corner and the input topography. Previously proposed gate-length biasing (Gupta et al. ’04) selectively increases the gate length of devices to reduce leakage at the cost of device delay increase. Only the devices on non-critical paths are biased for minimum circuit delay penalty. Biasing a device can prevent biasing other devices so order in which devices are biased is important. Bias in order of decreasing sensitivity (≡ leakage improvement/delay penalty).  Accurate estimation of leakage is important. We add “defocus awareness” by substituting leakage estimation in gate-length biasing with our defocus-aware estimation. Results Leakage after traditional and defocus-aware gate-length biasing. Optimized for nominal process corner and the input topography. Exponential dependence of leakage on linewidth. Pessimism in linewidth variation  large leakage pessimism and over-design. Need to model systematic linewidth-variation components for improved leakage estimation. Our leakage estimation methodology reduces leakage spread by half and can estimate leakage of all devices with better accuracy. Leakage optimization benefits from improved leakage estimation e.g., up to 7% more leakage reduction from gate-length biasing. Future work: Model other systematic variations such as lens aberrations. Consider delay impact of systematic variations within leakage optimization. Exponential dependence of leakage on linewidth. Pessimism in linewidth variation  large leakage pessimism and over-design. Need to model systematic linewidth-variation components for improved leakage estimation. Our leakage estimation methodology reduces leakage spread by half and can estimate leakage of all devices with better accuracy. Leakage optimization benefits from improved leakage estimation e.g., up to 7% more leakage reduction from gate-length biasing. Future work: Model other systematic variations such as lens aberrations. Consider delay impact of systematic variations within leakage optimization. Leakage power is one of the most critical issues for ultra-deep submicron technology. Subthreshold leakage is dependent exponentially on linewidth and therefore variation in linewidth translates to a large leakage variation. A significant fraction of variation in linewidth occurs due to systematic variations involving focus and pitch. In this work, we propose a new leakage estimation methodology that accounts for focus- dependent variation in linewidth. The ideas presented in this work significantly improve leakage estimation and can be used in existing leakage reduction techniques to improve their efficacy. We modify the previously proposed gate-length biasing technique to consider systematic variations in linewidth and further reduce leakage power. Our method reduces the spread in estimated leakage between worst-case and best-case process corners by up to 56%. Defocus-awareness improves leakage reductions from gate- length biasing by up to 7%. Leakage power is one of the most critical issues for ultra-deep submicron technology. Subthreshold leakage is dependent exponentially on linewidth and therefore variation in linewidth translates to a large leakage variation. A significant fraction of variation in linewidth occurs due to systematic variations involving focus and pitch. In this work, we propose a new leakage estimation methodology that accounts for focus- dependent variation in linewidth. The ideas presented in this work significantly improve leakage estimation and can be used in existing leakage reduction techniques to improve their efficacy. We modify the previously proposed gate-length biasing technique to consider systematic variations in linewidth and further reduce leakage power. Our method reduces the spread in estimated leakage between worst-case and best-case process corners by up to 56%. Defocus-awareness improves leakage reductions from gate- length biasing by up to 7%. Leakage decreases exponentially with linewidth (gate length). Better linewidth estimation  better leakage estimation. Linewidth variation is partly systematic. Using defocus and line pitch, 30% of gate-length variations can be modeled. Defocus and pitch determine systematic linewidth variations. Recent advances in simulation of chemical-mechanical planarization (CMP) of shallow trench isolation (STI) layer allow accurate topography prediction. Topography variation contributes significantly to defocus. Defocus can be predicted from layout analysis. Can calculate line pitches from layout analysis (with LVS).  Core idea: Layout Analysis  Linewidth Prediction  Accurate Leakage Estimation Leakage decreases exponentially with linewidth (gate length). Better linewidth estimation  better leakage estimation. Linewidth variation is partly systematic. Using defocus and line pitch, 30% of gate-length variations can be modeled. Defocus and pitch determine systematic linewidth variations. Recent advances in simulation of chemical-mechanical planarization (CMP) of shallow trench isolation (STI) layer allow accurate topography prediction. Topography variation contributes significantly to defocus. Defocus can be predicted from layout analysis. Can calculate line pitches from layout analysis (with LVS).  Core idea: Layout Analysis  Linewidth Prediction  Accurate Leakage Estimation Methodology Create Bossung LUT using several parallel line patterns of varying pitch and for defocus values in the range -200:20:200nm. Use standard-cell placement and orientation information with device spacings from cell boundaries to compute device pitches. Use CMP simulator (e.g., Lee ’02) to simulate topography. Our assumed topography: +100nm at die center and decreases quadratically with distance from center to -100nm at die corners. Use Bossung LUT to predict device linewidth and leakage. To find cell leakage, find the fraction of time each device leaks over all input combinations and take weighted sum. Experimental Setup Commercial tools for synthesis, placement, SPICE, library characterization, OPC, and PrintImage simulation. Systematic variation due to defocus: -6nm to 2nm. Assumptions: random variations of ±8nm, defocus variation of ±200nm is 100% random when topography is not specified and 50% random when topography is specified. Results Estimated leakage at the three process corners using traditional and the proposed defocus-aware leakage estimation flow in the absence and presence of a topography map. Methodology Create Bossung LUT using several parallel line patterns of varying pitch and for defocus values in the range -200:20:200nm. Use standard-cell placement and orientation information with device spacings from cell boundaries to compute device pitches. Use CMP simulator (e.g., Lee ’02) to simulate topography. Our assumed topography: +100nm at die center and decreases quadratically with distance from center to -100nm at die corners. Use Bossung LUT to predict device linewidth and leakage. To find cell leakage, find the fraction of time each device leaks over all input combinations and take weighted sum. Experimental Setup Commercial tools for synthesis, placement, SPICE, library characterization, OPC, and PrintImage simulation. Systematic variation due to defocus: -6nm to 2nm. Assumptions: random variations of ±8nm, defocus variation of ±200nm is 100% random when topography is not specified and 50% random when topography is specified. Results Estimated leakage at the three process corners using traditional and the proposed defocus-aware leakage estimation flow in the absence and presence of a topography map. Background Defocus-Aware Leakage Estimation and Control ( ) Puneet Sharma Advisor: Prof. Andrew B. Kahng Jointly with Mr. Swamy Muddu Electrical & Computer Engineering Introduction Defocus-Aware Leakage Estimation Defocus-Aware Gate-Length Biasing Conclusions and Ongoing Work Bossung Plot Linewidth is a function of pitch and defocus. Layout AnalysisPlaced Design Device PitchesDefocus over Die CMP Simulation Bossung Lookup Table Predicted Linewidths Leakage Estimation Compute SensitivitySelect & Bias Cell Timing Analysis Unbias if slack not met Defocus-Aware Leakage Estimation