1 Bridging the gap between asynchronous design and designers Peter A. BeerelFulcrum Microsystems, Calabasas Hills, CA, USA Jordi CortadellaUniversitat.

Slides:



Advertisements
Similar presentations
1 Bridging the gap between asynchronous design and designers Hao Zheng.
Advertisements

Programmable FIR Filter Design
Andrey Mokhov, Victor Khomenko Danil Sokolov, Alex Yakovlev Dual-Rail Control Logic for Enhanced Circuit Robustness.
Reading1: An Introduction to Asynchronous Circuit Design Al Davis Steve Nowick University of Utah Columbia University.
Introduction to CMOS VLSI Design Sequential Circuits
MICROELETTRONICA Sequential circuits Lection 7.
ELEC 256 / Saif Zahir UBC / 2000 Timing Methodology Overview Set of rules for interconnecting components and clocks When followed, guarantee proper operation.
1 Logic Design of Asynchronous Circuits Jordi Cortadella Jim Garside Alex Yakovlev Univ. Politècnica de Catalunya, Barcelona, Spain Manchester University,
Avshalom Elyada, Ran GinosarPipeline Synchronization 1 A Unique and Successfully Implemented Approach to the Synchronization Problem Based on the article.
Uncle – An RTL Approach to Asynchronous Design Presentor : Chi-Chuan Chuang Date :
Presenter : Ching-Hua Huang 2012/4/16 A Low-latency GALS Interface Implementation Yuan-Teng Chang; Wei-Che Chen; Hung-Yue Tsai; Wei-Min Cheng; Chang-Jiu.
P. Keresztes, L.T. Kóczy, A. Nagy, G.Rózsa: Training Electrical Engineers on Asynchronous Logic Circuits on Constant Weight Codes 1 Training Electrical.
1 Clockless Logic  Recap: Lookahead Pipelines  High-Capacity Pipelines.
Jordi Cortadella, Universitat Politecnica de Catalunya, Barcelona Mike Kishinevsky, Intel Corp., Strategic CAD Labs, Hillsboro.
Sequential Definitions  Use two level sensitive latches of opposite type to build one master-slave flipflop that changes state on a clock edge (when the.
Synchronous Digital Design Methodology and Guidelines
Hardware and Petri nets Synthesis of asynchronous circuits from Signal Transition Graphs.
© Ran Ginosar Lecture 3: Handshake Ckt Implementations 1 VLSI Architectures Lecture 3 S&F Ch. 5: Handshake Ckt Implementations.
Digital Integrated Circuits© Prentice Hall 1995 Timing ISSUES IN TIMING.
ECE Synthesis & Verification - Lecture 8 1 ECE 697B (667) Spring 2006 ECE 697B (667) Spring 2006 Synthesis and Verification of Digital Circuits Introduction.
Hardware and Petri nets: application to asynchronous circuit design Jordi CortadellaUniversitat Politècnica de Catalunya, Spain Michael KishinevskyIntel.
1 Clockless Logic Montek Singh Thu, Jan 13, 2004.
1 Clockless Logic Montek Singh Tue, Mar 23, 2004.
© Ran GinosarAsynchronous Design and Synchronization 1 VLSI Architectures Lecture 2: Theoretical Aspects (S&F 2.5) Data Flow Structures.
ELEC 6200, Fall 07, Oct 24 Jiang: Async. Processor 1 Asynchronous Processor Design for ELEC 6200 by Wei Jiang.
Low Power Design for Wireless Sensor Networks Aki Happonen.
Handshake protocols for de-synchronization I. Blunno, J. Cortadella, A. Kondratyev, L. Lavagno, K. Lwin and C. Sotiriou Politecnico di Torino, Italy Universitat.
COMP Clockless Logic and Silicon Compilers Lecture 3
1 Logic synthesis from concurrent specifications Jordi Cortadella Universitat Politecnica de Catalunya Barcelona, Spain In collaboration with M. Kishinevsky,
Jordi Cortadella, Universitat Politècnica de Catalunya, Spain
1 Bridging the gap between asynchronous design and designers Thanks to Jordi Cortadella, Luciano Lavagno, Mike Kishinevsky and many others.
Lab for Reliable Computing Generalized Latency-Insensitive Systems for Single-Clock and Multi-Clock Architectures Singh, M.; Theobald, M.; Design, Automation.
High-Throughput Asynchronous Pipelines for Fine-Grain Dynamic Datapaths Montek Singh and Steven Nowick Columbia University New York, USA
Automatic synthesis and verification of asynchronous interface controllers Jordi CortadellaUniversitat Politècnica de Catalunya, Spain Michael KishinevskyIntel.
Chapter #6: Sequential Logic Design 6.2 Timing Methodologies
1 Clockless Computing Montek Singh Thu, Sep 13, 2007.
Fall 2009 / Winter 2010 Ran Ginosar (
Asynchronous Circuit Verification and Synthesis with Petri Nets J. Cortadella Universitat Politècnica de Catalunya, Barcelona Thanks to: Michael Kishinevsky.
Lecture 11 MOUSETRAP: Ultra-High-Speed Transition-Signaling Asynchronous Pipelines.
1 Recap: Lectures 5 & 6 Classic Pipeline Styles 1. Williams and Horowitz’s PS0 pipeline 2. Sutherland’s micropipelines.
1 Clockless Logic: Dynamic Logic Pipelines (contd.)  Drawbacks of Williams’ PS0 Pipelines  Lookahead Pipelines.
Asynchronous Circuits Kent Orthner Wed. March 2nd, 2005 Presentation for: High speed and Low Power VLSI, Dr. Maitham Shams Kent Orthner Wed. March 2nd,
Clockless Chips Date: October 26, Presented by:
Asynchronous Datapath Design Adders Comparators Multipliers Registers Completion Detection Bus Pipeline …..
Amitava Mitra Intel Corp., Bangalore, India William F. McLaughlin
MOUSETRAP Ultra-High-Speed Transition-Signaling Asynchronous Pipelines Montek Singh & Steven M. Nowick Department of Computer Science Columbia University,
ECE Advanced Digital Systems Design Lecture 12 – Timing Analysis Capt Michael Tanner Room 2F46A HQ U.S. Air Force Academy I n t e g r i.
Paper review: High Speed Dynamic Asynchronous Pipeline: Self Precharging Style Name : Chi-Chuan Chuang Date : 2013/03/20.
NTU Confidential Test Asynchronous FIR Filter Design Presenter: Po-Chun Hsieh Advisor:Tzi-Dar Chiueh Date: 2003/12/1.
1 Clockless Computing Montek Singh Thu, Sep 6, 2007  Review: Logic Gate Families  A classic asynchronous pipeline by Williams.
Reading1: An Introduction to Asynchronous Circuit Design Al Davis Steve Nowick University of Utah Columbia University.
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 26: October 31, 2014 Synchronous Circuits.
Reader: Pushpinder Kaur Chouhan
Reading Assignment: Rabaey: Chapter 9
Lecture 11: FPGA-Based System Design October 18, 2004 ECE 697F Reconfigurable Computing Lecture 11 FPGA-Based System Design.
1 Practical Design and Performance Evaluation of Completion Detection Circuits Fu-Chiung Cheng Department of Computer Science Columbia University.
1 Bridging the gap between asynchronous design and designers Peter A. BeerelFulcrum Microsystems, Calabasas Hills, CA, USA Jordi CortadellaUniversitat.
VADA Lab.SungKyunKwan Univ. 1 L5:Lower Power Architecture Design 성균관대학교 조 준 동 교수
Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles Zhiyi Yu, Bevan Baas VLSI Computation Lab, ECE Department University of California,
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 20: October 25, 2010 Pass Transistors.
1 Recap: Lecture 4 Logic Implementation Styles:  Static CMOS logic  Dynamic logic, or “domino” logic  Transmission gates, or “pass-transistor” logic.
1 Clockless Logic Montek Singh Thu, Mar 2, Review: Logic Gate Families  Static CMOS logic  Dynamic logic, or “domino” logic  Transmission gates,
Specification mining for asynchronous controllers Javier de San Pedro† Thomas Bourgeat ‡ Jordi Cortadella† † Universitat Politecnica de Catalunya ‡ Massachusetts.
Asynchronous Interface Specification, Analysis and Synthesis
Recap: Lecture 1 What is asynchronous design? Why do we want to study it? What is pipelining? How can it be used to design really fast hardware?
Timing Analysis 11/21/2018.
Chapter 10 Timing Issues Rev /11/2003 Rev /28/2003
Synthesis of asynchronous controllers from Signal Transition Graphs:
De-synchronization: from synchronous to asynchronous
Clockless Logic: Asynchronous Pipelines
Presentation transcript:

1 Bridging the gap between asynchronous design and designers Peter A. BeerelFulcrum Microsystems, Calabasas Hills, CA, USA Jordi CortadellaUniversitat Politècnica de Catalunya, Barcelona, Spain Alex KondratyevCadence Berkeley Labs, Berkeley, CA, USA

2 1.Basic concepts on asynchronous circuit design Tea Break 2.Logic synthesis from concurrent specifications 3.Synchronization of complex systems Lunch 4.Design automation for asynchronous circuits Tea Break 5.Industrial experiences Outline

3 Basic concepts on asynchronous circuit design

4 Outline What is an asynchronous circuit ? Asynchronous communication Asynchronous design styles (Micropipelines) Asynchronous logic building blocks Control specification and implementation Delay models and classes of async circuits Channel-based design Why asynchronous circuits ?

5 Synchronous circuit RRRRCL CLK Implicit (global) synchronization between blocks Clock period > Max Delay (CL + R)

6 Asynchronous circuit RRRRCL Req Ack Explicit (local) synchronization: Req / Ack handshakes

7 Motivation for asynchronous Asynchronous design is often unavoidable: Asynchronous interfaces, arbiters etc. Modern clocking is multi–phase and distributed – and virtually ‘asynchronous’ (cf. GALS – next slide): Mesachronous (clock travels together with data) Local (possibly stretchable) clock generation Robust asynchronous design flow is coming (e.g. VLSI programming from Philips, Balsa from Univ. of Manchester, NCL from Theseus Logic …)

8 Globally Async Locally Sync (GALS) Local CLK RR CL Async-to-sync Wrapper Req1 Req2 Req3 Req4 Ack3 Ack4 Ack2 Ack1 Asynchronous World Clocked Domain

9 Key Design Differences Synchronous logic design: proceeds without taking timing correctness (hazards, signal ack–ing etc.) into account Combinational logic and memory latches (registers) are built separately Static timing analysis of CL is sufficient to determine the Max Delay (clock period) Fixed set–up and hold conditions for latches

10 Key Design Differences Asynchronous logic design: Must ensure hazard–freedom, signal ack–ing, local timing constraints Combinational logic and memory latches (registers) are often mixed in “complex gates” Dynamic timing analysis of logic is needed to determine relative delays between paths To avoid complex issues, circuits may be built as Delay-insensitive and/or Speed-independent (as discussed later)

11 Verification and Testing Differences Synchronous logic verification and testing: Only functional correctness aspect is verified and tested Testing can be done with standard ATE and at low speed (but high–speed may be required for DSM) Asynchronous logic verification and testing: In addition to functional correctness, temporal aspect is crucial: e.g. causality and order, deadlock–freedom Testing must cover faults in complex gates (logic+memory) and must proceed at normal operation rate Delay fault testing may be needed

12 Synchronous communication Clock edges determine the time instants where data must be sampled Data wires may glitch between clock edges (set–up/hold times must be satisfied) Data are transmitted at a fixed rate (clock frequency)

13 Dual rail Two wires with L(low) and H (high) per bit “LL” = “spacer”, “LH” = “0”, “HL” = “1” n–bit data communication requires 2n wires Each bit is self-timed Other delay-insensitive codes exist (e.g. k-of-n) and event–based signalling (choice criteria: pin and power efficiency)

14 Bundled data Validity signal Similar to an aperiodic local clock n–bit data communication requires n+1 wires Data wires may glitch when no valid Signaling protocols level sensitive (latch) transition sensitive (register): 2 – phase / 4 – phase

15 Example: memory read cycle Transition signaling, 4-phase Valid address Address Valid data Data AA DD

16 Example: memory read cycle Transition signaling, 2-phase Valid address Address Valid data Data AA DD

17 Asynchronous modules Signaling protocol: reqin+ start+ [computation] done+ reqout+ ackout+ ackin+ reqin- start- [reset] done- reqout- ackout- ackin- (more concurrency is also possible) Data INData OUT req inreq out ack inack out DATA PATH CONTROL startdone

18 Asynchronous latches: C element C A B Z A B Z Z 1 0 Z Vdd Gnd A A A AB B B B Z Z Z [van Berkel 91] Static Logic Implementation

19 C-element: Other implementations A A B B Gnd Vdd Z A A B B Gnd Vdd Z Weak inverter Quasi-Static Dynamic

20 Dual-rail logic A.t A.f B.t B.f C.t C.f Dual-rail AND gate Valid behavior for monotonic environment

21 Completion detection Dual-rail logic C done Completion detection tree

22 Differential cascode voltage switch logic start A.t B.t C.t A.fB.f C.f Z.tZ.f done – 3 – input AND/NAND gate N-type transistor network

23 Examples of dual-rail design Asynchronous dual-rail ripple-carry adder (A. Martin, 1991) Critical delay is proportional to logN (N=number of bits) 32–bit adder delay (1.6m MOSIS CMOS): 11 ns versus 40 ns for synchronous Async cell transistor count = 34 versus synchronous = 28 More recent success stories (modularity and automatic synthesis) of dual-rail logic from Null-Convention Logic (Theseus Logic)

24 Bundled-data logic blocks Single-rail logic delay startdone Conventional logic + matched delay

25 Micropipelines (Sutherland 89) C Join Merge Toggle r1 r2 g1 g2 d1 d2 Request- Grant-Done (RGD)Arbiter Call r1 r2 r a a1 a2 Select in outf outt sel in out0 out1 Micropipeline (2-phase) control blocks

26 Micropipelines (Sutherland 89) LLLLlogic R in A out C C C C R out A in delay

27 Data-path / Control LLLLlogic R in R out CONTROL A in A out

28 Control specification A+ B+ A–A– B– A B A input B output

29 Control specification A+ B– A– B+ A B

30 Control specification A+ C– A– C+ A C B+ B– B C

31 Control specification A+ C– A– C+ A C B+ B– B C

32 Control specification C C Ri Ro Ai Ao Ri+ Ao+ Ri- Ao- Ro+ Ai+ Ro- Ai- Ri Ro Ao Ai FIFO cntrl

33 A simple filter: specification y := 0; loop x := READ (IN); WRITE (OUT, (x+y)/2); y := x; end loop R in A in A out R out IN OUT filter

34 A simple filter: block diagram xy + control R in A in R out A out RxRx AxAx RyRy AyAy RaRa AaAa IN OUT x and y are level-sensitive latches (transparent when R=1) + is a bundled-data adder (matched delay between R a and A a ) R in indicates the validity of IN After A in + the environment is allowed to change IN (R out,A out ) control a level-sensitive latch at the output

35 A simple filter: control spec. xy + control R in A in R out A out RxRx AxAx RyRy AyAy RaRa AaAa IN OUT R in + A in + R in – A in – Rx+Rx+ Ax+Ax+ Rx–Rx– Ax–Ax– Ry+Ry+ Ay+Ay+ Ry–Ry– Ay–Ay– Ra+Ra+ Aa+Aa+ Ra–Ra– Aa–Aa– R out + A out + R out – A out –

36 A simple filter: control impl. C R in A in RxRx AxAx RyRy AyAy AaAa RaRa A out R out R in + A in + R in – A in – Rx+Rx+ Ax+Ax+ Rx–Rx– Ax–Ax– Ry+Ry+ Ay+Ay+ Ry–Ry– Ay–Ay– Ra+Ra+ Aa+Aa+ Ra–Ra– Aa–Aa– R out + A out + R out – A out –

37 Taking delays into account x+ x– y+ y– z+ z– x z y x’ z’ Delay assumptions: Environment: 3 time units Gates: 1 time unit events: x+  x’–  y+  z+  z’–  x–  x’+  z–  z’+  y–  time:

38 Taking delays into account x z y x’ z’ Delay assumptions: unbounded delays events: x+  x’–  y+  z+  x–  x’+  y– time: very slow failure ! x+ x– y+ y– z+ z–

39 Gate vs wire delay models Gate delay model: delays in gates, no delays in wires Wire delay model: delays in gates and wires

40 Delay models for async. circuits Bounded delays (BD): realistic for gates and wires. Technology mapping is easy, verification is difficult Speed independent (SI): Unbounded (pessimistic) delays for gates and “negligible” (optimistic) delays for wires. Technology mapping is more difficult, verification is easy Delay insensitive (DI): Unbounded (pessimistic) delays for gates and wires. DI class (built out of basic gates) is almost empty Quasi-delay insensitive (QDI): Delay insensitive except for critical wire forks (isochronic forks). In practice it is the same as speed independent BD SI  QDI DI

41 Channel-Based Design Synchronization and communication between blocks implemented with handshaking using asynchronous channels by sending/receiving “data tokens” Synchronous System Asynchronous System Asynchronous channel channel clock

42 Channel Design – Single Rail Features Features One request wire One request wire One wire per data bit One wire per data bit One acknowledgment wire One acknowledgment wire Has timing assumptions Has timing assumptions 4-phase bundled-data channel Req Ack Data Data stable Req Ack Data senderreceiver

43 Channel Design: Dual Rail & 1-of-N Dual Rail Two wires per data bit Two wires per data bit One acknowledgment wire One acknowledgment wire Advantage: Advantage: Supports delay-insensitive design 1-of-N Generalization of dual-rail Generalization of dual-rail 4-phase 1-of-N channel Ack Data Ack Data (1-of-N) senderreceiver Data T Data F Logical Value 00Reset Invalid

44 Anatomy of a Channel-Based Asynchronous Design Architecture is typically a multi-level hierarchy of communicating blocks Architecture is typically a multi-level hierarchy of communicating blocks B N-1 B N-2 B N-3 FA N-1 FA N-2 FA N-3 FA 0 ASIC Main FSM Register Bank Memory Adder/ Mult. Subtract/ Divider Reg C Reg B Adder Multiplier Reg A Yields a hierarchical netlist of cells, where at each level blocks communicate along channels channels leaf cells

45 Asynchronous Cells Definition Smallest element that communicates with its neighbors along asynchronous channels Smallest element that communicates with its neighbors along asynchronous channelsFunctionality Reads a subset of input channels Reads a subset of input channels Computes F and writes to a subset of output channels Computes F and writes to a subset of output channels Linear Pipelines Only one input and one output channel Only one input and one output channel F Input Channels Output Channels F

46 Cells for Non-Linear Pipelines F Fork Join Conditional Split Conditional Join Non-Linear Pipelines  Joins and Forks  Conditional Joins: Read only some of the input channels  Conditional Splits: Write only to some of the output channels F F F

47 Template-Based Leaf-Cell Design Each pipeline style (QDI, timed…) has a different blueprint Create a library using a blueprint to implement the lowest level communicating blocks RCD F LCD C Blueprint for a QDI N-input M-output pipeline stage RCD F LCD C LCD 2-input 1-output pipeline stage RCD F LCD C RCD 1-input 2-output pipeline stage

48 Template-Based Leaf-Cell Design Pros Enables fine-grain 2-D pipelining yielding high-performance Simplifies logic synthesis by enabling simple control circuit generation and re-use of typical datapath synthesis Leaf-cells can be layed-out and verified creating a leaf-cell library, localizing timing assumptions Cons Unified template may not be optimal in all cases Particularly, less effective for non-pipelined architectures with more complicated control

49 Motivation (designer’s view) Modularity for system-on-chip design Plug-and-play interconnectivity Average-case peformance No worst-case delay synchronization Many interfaces are asynchronous Buses, networks,...

50 Motivation (technology aspects) Low power Automatic clock gating Electromagnetic compatibility No peak currents around clock edges Security No ‘electro–magnetic difference’ between logical ‘0’ and ‘1’in dual rail code Robustness High immunity to technology and environment variations (temperature, power supply,...)

51 Dissuasion Concurrent models for specification CSP, Petri nets,...: no more FSMs Difficult to design Hazards, synchronization Complex timing analysis Difficult to estimate performance Difficult to test No way to stop the clock

52 But... some successful stories Philips AMULET microprocessors Sharp Intel (RAPPID) Start-up companies: Theseus logic, Fulcrum Microsystems, Self–Timed Solutions Recent blurb: It's Time for Clockless Chips, by Claire Tristram (MIT Technology Review, v. 104, no.8, October 2001: oct01/tristram.asp) oct01/tristram.asp ….