SLIP 2000April 9, 2000 --1-- Wiring Layer Assignments with Consistent Stage Delays Andrew B. Kahng (UCLA) Dirk Stroobandt (Ghent University) Supported.

Slides:



Advertisements
Similar presentations
OCV-Aware Top-Level Clock Tree Optimization
Advertisements

-1- VLSI CAD Laboratory, UC San Diego Post-Routing BEOL Layout Optimization for Improved Time- Dependent Dielectric Breakdown (TDDB) Reliability Tuck-Boon.
Efficient Design and Analysis of Robust Power Distribution Meshes Puneet Gupta Blaze DFM Inc. Andrew B. Kahng.
Buffer and FF Insertion Slides from Charles J. Alpert IBM Corp.
ELEN 468 Lecture 261 ELEN 468 Advanced Logic Design Lecture 26 Interconnect Timing Optimization.
1 Arkadiy Morgenshtein, Eby G. Friedman, Ran Ginosar, Avinoam Kolodny Technion – Israel Institute of Technology Timing Optimization in Logic with Interconnect.
BSPlace: A BLE Swapping technique for placement Minsik Hong George Hwang Hemayamini Kurra Minjun Seo 1.
Reap What You Sow: Spare Cells for Post-Silicon Metal Fix Kai-hui Chang, Igor L. Markov and Valeria Bertacco ISPD’08, Pages
3D-STAF: Scalable Temperature and Leakage Aware Floorplanning for Three-Dimensional Integrated Circuits Pingqiang Zhou, Yuchun Ma, Zhouyuan Li, Robert.
DARPA Assessing Parameter and Model Sensitivities of Cycle-Time Predictions Using GTX u Abstract The GTX (GSRC Technology Extrapolation) system serves.
Toward Better Wireload Models in the Presence of Obstacles* Chung-Kuan Cheng, Andrew B. Kahng, Bao Liu and Dirk Stroobandt† UC San Diego CSE Dept. †Ghent.
MCFRoute: A Detailed Router Based on Multi- Commodity Flow Method Xiaotao Jia, Yici Cai, Qiang Zhou, Gang Chen, Zhuoyuan Li, Zuowei Li.
38 th Design Automation Conference, Las Vegas, June 19, 2001 Creating and Exploiting Flexibility in Steiner Trees Elaheh Bozorgzadeh, Ryan Kastner, Majid.
Power-Aware Placement
On Modeling and Sensitivity of Via Count in SOC Physical Implementation Kwangok Jeong Andrew B. Kahng.
Dirk Stroobandt Ghent University Electronics and Information Systems Department A Priori System-Level Interconnect Prediction The Road to Future Computer.
DPIMM-03 1 Performance-Impact Limited Area Fill Synthesis Yu Chen, Puneet Gupta, Andrew B. Kahng (UCLA, UCSD) Supported by Cadence.
On-Line Adjustable Buffering for Runtime Power Reduction Andrew B. Kahng Ψ Sherief Reda † Puneet Sharma Ψ Ψ University of California, San Diego † Brown.
Effects of Global Interconnect Optimizations on Performance Estimation of Deep Sub-Micron Design Yu (Kevin) Cao 1, Chenming Hu 1, Xuejue Huang 1, Andrew.
A Proposal for Routing-Based Timing-Driven Scan Chain Ordering Puneet Gupta 1 Andrew B. Kahng 1 Stefanus Mantik 2
ISPD 2000, San DiegoApr 10, Requirements for Models of Achievable Routing Andrew B. Kahng, UCLA Stefanus Mantik, UCLA Dirk Stroobandt, Ghent.
Ryan Kastner ASIC/SOC, September Coupling Aware Routing Ryan Kastner, Elaheh Bozorgzadeh and Majid Sarrafzadeh Department of Electrical and Computer.
ELEN 468 Lecture 271 ELEN 468 Advanced Logic Design Lecture 27 Interconnect Timing Optimization II.
Floorplanning and Signal Assignment for Silicon Interposer-based 3D ICs W. H. Liu, M. S. Chang and T. C. Wang Department of Computer Science, NTHU, Taiwan.
Metal Layer Planning for Silicon Interposers with Consideration of Routability and Manufacturing Cost W. Liu, T. Chien and T. Wang Department of CS, NTHU,
7/13/ EE4271 VLSI Design VLSI Routing. 2 7/13/2015 Routing Problem Routing to reduce the area.
Effects of Global Interconnect Optimizations on Performance Estimation of Deep Sub-Micron Design Yu Cao, Chenming Hu, Xuejue Huang, Andrew B. Kahng, Sudhakar.
1 A Novel Metric for Interconnect Architecture Performance Parthasarathi Dasgupta, Andrew B. Kahng, Swamy V. Muddu Dept. of CSE and ECE University of California,
Chip Planning 1. Introduction Chip Planning:  Deals with large modules with −known areas −fixed/changeable shapes −(possibly fixed locations for some.
A Methodology for Interconnect Dimension Determination By: Jeff Cobb Rajesh Garg Sunil P Khatri Department of Electrical and Computer Engineering, Texas.
Global Routing.
1 Coupling Aware Timing Optimization and Antenna Avoidance in Layer Assignment Di Wu, Jiang Hu and Rabi Mahapatra Texas A&M University.
Seongbo Shim, Yoojong Lee, and Youngsoo Shin Lithographic Defect Aware Placement Using Compact Standard Cells Without Inter-Cell Margin.
TSV-Aware Analytical Placement for 3D IC Designs Meng-Kai Hsu, Yao-Wen Chang, and Valerity Balabanov GIEE and EE department of NTU DAC 2011.
A Polynomial Time Approximation Scheme For Timing Constrained Minimum Cost Layer Assignment Shiyan Hu*, Zhuo Li**, Charles J. Alpert** *Dept of Electrical.
1 Global Routing Method for 2-Layer Ball Grid Array Packages Yukiko Kubo*, Atsushi Takahashi** * The University of Kitakyushu ** Tokyo Institute of Technology.
Low-Power Gated Bus Synthesis for 3D IC via Rectilinear Shortest-Path Steiner Graph Chung-Kuan Cheng, Peng Du, Andrew B. Kahng, and Shih-Hung Weng UC San.
UC San Diego / VLSI CAD Laboratory Incremental Multiple-Scan Chain Ordering for ECO Flip-Flop Insertion Andrew B. Kahng, Ilgweon Kang and Siddhartha Nath.
New Modeling Techniques for the Global Routing Problem Anthony Vannelli Department of Electrical and Computer Engineering University of Waterloo Waterloo,
Kwangsoo Han, Andrew B. Kahng, Hyein Lee and Lutong Wang
Detailed Routing: New Challenges
Kwangsoo Han‡, Andrew B. Kahng‡† and Hyein Lee‡
ECO Timing Optimization Using Spare Cells Yen-Pin Chen, Jia-Wei Fang, and Yao-Wen Chang ICCAD2007, Pages ICCAD2007, Pages
"A probabilistic approach to clock cycle prediction" A probabilistic approach to clock cycle prediction J. Dambre, D. Stroobandt and J. Van Campenhout.
VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 6: Detailed Routing © KLMH Lienig 1 What Makes a Design Difficult to Route Charles.
Impact of Interconnect Architecture on VPSAs (Via-Programmed Structured ASICs) Usman Ahmed Guy Lemieux Steve Wilton System-on-Chip Lab University of British.
PXL Cable Options LG 1HFT Hardware Meeting 02/11/2010.
1 ER UCLA ISPD: Sonoma County, CA, April, 2001 An Exact Algorithm for Coupling-Free Routing Ryan Kastner, Elaheh Bozorgzadeh,Majid Sarrafzadeh.
ILP-Based Inter-Die Routing for 3D ICs Chia-Jen Chang, Pao-Jen Huang, Tai-Chen Chen, and Chien-Nan Jimmy Liu Department of Electrical Engineering, National.
Physical Synthesis Buffer Insertion, Gate Sizing, Wire Sizing,
LEMAR: A Novel Length Matching Routing Algorithm for Analog and Mixed Signal Circuits H. Yao, Y. Cai and Q. Gao EDA Lab, Department of CS, Tsinghua University,
Routing Topology Algorithms Mustafa Ozdal 1. Introduction How to connect nets with multiple terminals? Net topologies needed before point-to-point routing.
Net Criticality Revisited: An Effective Method to Improve Timing in Physical Design H. Chang 1, E. Shragowitz 1, J. Liu 1, H. Youssef 2, B. Lu 3, S. Sutanthavibul.
Dirk Stroobandt Ghent University Electronics and Information Systems Department A New Design Methodology Based on System-Level Interconnect Prediction.
Interconnect Driver Design for Long Wires in FPGAs Edmund Lee University of British Columbia Electrical & Computer Engineering MASc Thesis Presentation.
-1- Delay Uncertainty and Signal Criticality Driven Routing Channel Optimization for Advanced DRAM Products Samyoung Bang #, Kwangsoo Han ‡, Andrew B.
1 Timing Closure and the constant delay paradigm Problem: (timing closure problem) It has been difficult to get a circuit that meets delay requirements.
Dept. of Electronics Engineering & Institute of Electronics National Chiao Tung University Hsinchu, Taiwan ISPD’16 Generating Routing-Driven Power Distribution.
Dirk Stroobandt Ghent University Electronics and Information Systems Department Multi-terminal Nets do Change Conventional Wire Length Distribution Models.
EE4271 VLSI Design VLSI Channel Routing.
RTL Design Flow RTL Synthesis HDL netlist logic optimization netlist Library/ module generators physical design layout manual design a b s q 0 1 d clk.
Unified Adaptivity Optimization of Clock and Logic Signals Shiyan Hu and Jiang Hu Dept of Electrical and Computer Engineering Texas A&M University.
Prediction of Interconnect Net-Degree Distribution Based on Rent’s Rule Tao Wan and Malgorzata Chrzanowska- Jeske Department of Electrical and Computer.
Technology Migration Technique for Designs with Strong RET-driven Layout Restrictions Xin Yuan, Kevin McCullen, Fook-Luen Heng, Robert Walker, Jason Hibbeler,
Revisiting and Bounding the Benefit From 3D Integration
Buffered tree construction for timing optimization, slew rate, and reliability control Abstract: With the rapid scaling of IC technology, buffer insertion.
EE5780 Advanced VLSI Computer-Aided Design
Puneet Gupta1 , Andrew B. Kahng1 , Youngmin Kim2, Dennis Sylvester2
EE4271 VLSI Design, Fall 2016 VLSI Channel Routing.
Clock Tree Routing With Obstacles
Presentation transcript:

SLIP 2000April 9, Wiring Layer Assignments with Consistent Stage Delays Andrew B. Kahng (UCLA) Dirk Stroobandt (Ghent University) Supported by Cadence Design Systems, Inc. and the MARCO Gigascale Silicon Research Center

SLIP 2000April 9, Outline Introduction: wiring layer assignment Problem and models Optimization objective function Our layer assignment method Discussion and results Conclusion

SLIP 2000April 9, Introduction DSM design routing tools have to account for –delay constraints –yield –power –… Conventional technique: –router assigns wires to layers –wire sizing, repeater insertion/sizing applied More interesting approach: –wire sizing etc. used by router to assign wires

SLIP 2000April 9, Our Layer Assignment Concept Search for optimal layer for a wire with –optimal wire size, number and size of repeaters for each wire –meeting consistent stage delay constraints –taking total repeater area constraint into account –accounting for impact of vias A priori estimation techniques make it useful for application both before / after placement Potential applications –improving CAD layout tools –studying effects of technological parameters –optimizing fabrication process

SLIP 2000April 9, Problem and Models Optimization objective: # of layers needed Degrees of freedom (for each wire) –choice of layer parameters –wire width –number of repeaters –size of the repeaters Find the optimal assignment of wires to wiring layers subject to delay constraints and total repeater area constraints

SLIP 2000April 9, Layer Assignment Assumptions –layer pairs form tiers (H and V) –tiers grouped in tier types (equal parameters) –(even) number of layers/type to be determined –wires are routed on 1 tier –inputs to the method: number of tier types and their layer parameters order (bottom-to-top) user-defined –output: optimal number of layers / tier type layer to which wires are assigned H H V V } } tier

SLIP 2000April 9, Delay Constraint Model Sakurai’s [IEEE TED, 1993] delay equation –depends on wire length/width through wire R, C Delay can be reduced by –increasing wire width (for fixed length and layer) consider uniform wire sizing (no tapering) continuous wire sizing (no discrete set of widths) –optimal gate sizing –repeater insertion and sizing repeaters at equal distances number of repeaters even Trade-off between delay and area

SLIP 2000April 9, Via Impact Model Sai-Halasz [1995]: every layer blocks 15% Newer models: Chong [1999], Chen [1999] –terminal vias and turn vias –each wire uses 2 via stacks –number of terminal vias defined by layer assignment model Via impact factor Chong: Chen: H H V V } } tier H H V V } }

SLIP 2000April 9, Wire Length Distribution All wires classified according to their length Wire length distribution needed –measuring distances between placed gates –applying a priori wirelength estimation

SLIP 2000April 9, Cost Function = Number of Layers Non-integer by considering area needed/tier A = available area/layer Area parts: wiring area + area “lost” to vias Tier type i W(2) Wire 0 Wire 2 Wire 1 SiSi l(2)

SLIP 2000April 9, Cost Function (cont.) Via area assumptions –square area with side = minimal wire width/layer –line of vias for wider wires –via sizes scale with minimal wire widths for lower layers W W min Tier type 2 Tier type 1 Tier type 0

SLIP 2000April 9, Cost Function (cont.) Number of vias –each repeater adds 2 vias on layer of tier below –each repeater adds 1 via on layer of own tier –no repeaters: as if 1 repeater Gate Repeater Wire on type 2 Tier type 1 Tier type 2

SLIP 2000April 9, Via Impact Limits Number of Layers Via impact factor must be < 1 For maximum number of layers (e.g., 10) –8 layers for wires: f<0.2 –number of wires < 300,000 (250nm, 10M trans., logic area 54mm, 4  m wire pitch on tier, all wires minimum width, no repeaters) 2

SLIP 2000April 9, Layer Assignment method 2 phases: optimize, then round to integers Phase 1: Calculate minimal delay T min. T min <T target ? A min <A limit ? Sort costs in increasing order. Repeat for all wires.  C min < 0? Calculate cost  C for moving wire to other tier while optimizing W, N r. Calculate minimal repeater area A min. Y T target =T min. N A limit =A min Solution found! N Solution found! N Move K wires with smallest  C<0. Repeat. Y Create initial solution on “fattest” tier. Y

SLIP 2000April 9, A Typical Example Tier type 2 Tier type 1 Tier type 0 Wirelength (mm) Delay (ps) Wire width (  m) Number of repeaters

SLIP 2000April 9, Target Delay Influence Tier type 2 Tier type 1 Tier type 0 Wirelength (mm) Delay (ps) Wire width (  m) Wirelength (mm)

SLIP 2000April 9, Uniform Versus Non-uniform Stacks Uniform still 3 numbers: via impact = L i f i Tier 2 Tier 1 Tier Number of layers per tier type Total

SLIP 2000April 9, Optimal Layer Stack Monotonic? Results depend on delay constraint Tier 2 Tier 1 Tier Number of layers per tier type

SLIP 2000April 9, Conclusions Layer assignment is becoming more critical Our proposal: use stage delay constraints Current work: 2-D length-delay distribution Wire/repeater sizing + via impact + area limit Via impact severely limits number of wires Interesting conclusions: –maximum wire width on tier type not dependent on delay constraint –monotonic non-uniform layer stack (fat-wires-on-top) better than uniform –non-monotonic worse for tight delay constraints but “non-fat” tier on top can be beneficial Useful to search for optimal layer stack parameters Find threshold values to ensure optimality of layer stack and make layer assignment more “trivial”