FPGA Defect Tolerance: Impact of Granularity Anthony YuGuy Lemieux December 14, 2005.

Slides:



Advertisements
Similar presentations
Survey of Detection, Diagnosis, and Fault Tolerance Methods in FPGAs
Advertisements

FPGA (Field Programmable Gate Array)
Defect Tolerance for Yield Enhancement of FPGA Interconnect Using Fine-grain and Coarse-grain Redundancy Anthony J. YuGuy G.F. Lemieux September 15, 2005.
Cadence Design Systems, Inc. Why Interconnect Prediction Doesn’t Work.
CIS December '99 Introduction to Parallel Architectures Dr. Laurence Boxer Niagara University.
Maintaining Data Integrity in Programmable Logic in Atmospheric Environments through Error Detection Joel Seely Technical Marketing Manager Military &
DSD 2007 Concurrent Error Detection for FSMs Designed for Implementation with Embedded Memory Blocks of FPGAs Andrzej Krasniewski Institute of Telecommunications.
Defect Tolerance for Yield Enhancement of FPGA Interconnect Using Fine-grain and Coarse-grain Redundancy Anthony J. Yu August 15, 2005.
Defect Tolerance for Yield Enhancement of FPGA Interconnect Using Fine-grain and Coarse-grain Redundancy Anthony J. Yu August 15, 2005.
University of Michigan Electrical Engineering and Computer Science University of Michigan Electrical Engineering and Computer Science August 20, 2009 Enabling.
Defect-tolerant FPGA Switch Block and Connection Block with Fine- grain Redundancy for Yield Enhancement Anthony J. YuGuy G.F. Lemieux August 25, 2005.
Slide 1/20 Fault Tolerant Approaches to Nanoelectronic Programmable Logic Arrays Authors: Wenjing Rao, Alex Orailoglu, Ramesh Karri Conference: DSN 2007.
ENGIN112 L38: Programmable Logic December 5, 2003 ENGIN 112 Intro to Electrical and Computer Engineering Lecture 38 Programmable Logic.
Evolution of implementation technologies
Penn ESE Spring DeHon 1 ESE (ESE534): Computer Organization Day 26: April 18, 2007 Et Cetera…
Build-In Self-Test of FPGA Interconnect Delay Faults Laboratory for Reliable Computing (LaRC) Electrical Engineering Department National Tsing Hua University.
An FPGA Based Adaptive Viterbi Decoder Sriram Swaminathan Russell Tessier Department of ECE University of Massachusetts Amherst.
February 4, 2002 John Wawrzynek
The Memory/Logic Interface in FPGA’s with Large Embedded Memory Arrays The Memory/Logic Interface in FPGA’s with Large Embedded Memory Arrays Steven J.
CS 151 Digital Systems Design Lecture 38 Programmable Logic.
Digital Circuit Implementation. Wafers and Chips  Integrated circuit (IC) chips are manufactured on silicon wafers  Transistors are placed on the wafers.
Dr. Konstantinos Tatas ACOE201 – Computer Architecture I – Laboratory Exercises Background and Introduction.
L i a b l eh kC o m p u t i n gL a b o r a t o r y On Effective TSV Repair for 3D- Stacked ICs Li Jiang †, Qiang Xu † and Bill Eklow § † CUhk REliable.
03/12/20101 Analysis of FPGA based Kalman Filter Architectures Arvind Sudarsanam Dissertation Defense 12 March 2010.
Lecture 2: Field Programmable Gate Arrays September 13, 2004 ECE 697F Reconfigurable Computing Lecture 2 Field Programmable Gate Arrays.
Introspective 3D Chips S. Mysore, B. Agrawal, N. Srivastava, S. Lin, K. Banerjee, T. Sherwood (UCSB), ASPLOS 2006 Shimin Chen (LBA Reading Group Presentation)
Power Reduction for FPGA using Multiple Vdd/Vth
1 3-General Purpose Processors: Altera Nios II 2 Altera Nios II processor A 32-bit soft core processor from Altera Comes in three cores: Fast, Standard,
Coarse and Fine Grain Programmable Overlay Architectures for FPGAs
Eric Keller, Evan Green Princeton University PRESTO /22/08 Virtualizing the Data Plane Through Source Code Merging.
Un/DoPack: Re-Clustering of Large System-on-Chip Designs with Interconnect Variation for Low-Cost FPGAs Marvin Tom* Xilinx Inc.
1. NATURE: Non-Volatile Nanotube RAM based Field-Programmable Gate Arrays Wei Zhang†, Niraj K. Jha† and Li Shang ‡ †Dept. of Electrical Engineering Princeton.
Reconfiguration Based Fault-Tolerant Systems Design - Survey of Approaches Jan Balach, Jan Balach, Ondřej Novák FIT, CTU in Prague MEMICS 2010.
CPLD (Complex Programmable Logic Device)
CSE 494: Electronic Design Automation Lecture 2 VLSI Design, Physical Design Automation, Design Styles.
J. Christiansen, CERN - EP/MIC
J. Greg Nash ICNC 2014 High-Throughput Programmable Systolic Array FFT Architecture and FPGA Implementations J. Greg.
Field Programmable Gate Arrays (FPGAs) An Enabling Technology.
Design Space Exploration for Application Specific FPGAs in System-on-a-Chip Designs Mark Hammerquist, Roman Lysecky Department of Electrical and Computer.
Impact of Interconnect Architecture on VPSAs (Via-Programmed Structured ASICs) Usman Ahmed Guy Lemieux Steve Wilton System-on-Chip Lab University of British.
Configuration Bitstream Reduction for SRAM-based FPGAs by Enumerating LUT Input Permutations The University of British Columbia© 2011 Guy Lemieux Ameer.
Task Graph Scheduling for RTR Paper Review By Gregor Scott.
EE5970 Computer Engineering Seminar Spring 2012 Michigan Technological University Based on: A Low-Power FPGA Based on Autonomous Fine-Grain Power Gating.
Section 1  Quickly identify faulty components  Design new, efficient testing methodologies to offset the complexity of FPGA testing as compared to.
“Politehnica” University of Timisoara Course No. 3: Project E MBRYONICS Evolvable Systems Winter Semester 2010.
M.Mohajjel. Why? TTM (Time-to-market) Prototyping Reconfigurable and Custom Computing 2Digital System Design.
FPGA-Based System Design: Chapter 1 Copyright  2004 Prentice Hall PTR Moore’s Law n Gordon Moore: co-founder of Intel. n Predicted that number of transistors.
Copyright © 2010 Houman Homayoun Houman Homayoun National Science Foundation Computing Innovation Fellow Department of Computer Science University of California.
Evaluating Logic Resources Utilization in an FPGA-Based TMR CPU
An Improved “Soft” eFPGA Design and Implementation Strategy
1 Advanced Digital Design Reconfigurable Logic by A. Steininger and M. Delvai Vienna University of Technology.
In-Place Decomposition for Robustness in FPGA Ju-Yueh Lee, Zhe Feng, and Lei He Electrical Engineering Dept., UCLA Presented by Ju-Yueh Lee Address comments.
Custom Computing Machines for the Set Covering Problem Paper Written By: Christian Plessl and Marco Platzner Swiss Federal Institute of Technology, 2002.
Interconnect Driver Design for Long Wires in FPGAs Edmund Lee University of British Columbia Electrical & Computer Engineering MASc Thesis Presentation.
Review of “Register Binding for FPGAs with Embedded Memory” by Hassan Al Atat and Iyad Ouaiss Lisa Steffen CprE 583.
Lecture 17: Dynamic Reconfiguration I November 10, 2004 ECE 697F Reconfigurable Computing Lecture 17 Dynamic Reconfiguration I Acknowledgement: Andre DeHon.
Reconfigurable Architectures Greg Stitt ECE Department University of Florida.
Congestion-Driven Re-Clustering for Low-cost FPGAs MASc Examination Darius Chiu Supervisor: Dr. Guy Lemieux University of British Columbia Department of.
Defect-tolerant FPGA Switch Block and Connection Block with Fine-grain Redundancy for Yield Enhancement Anthony J. YuGuy G.F. Lemieux August 25, 2005.
Interconnect Driver Design for Long Wires in FPGAs Edmund Lee, Guy Lemieux & Shahriar Mirabbasi University of British Columbia, Canada Electrical & Computer.
FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Topics n FPGA fabric architecture concepts.
A Survey of Fault Tolerant Methodologies for FPGA’s Gökhan Kabukcu
Programmable Logic Devices
Maintaining Data Integrity in Programmable Logic in Atmospheric Environments through Error Detection Joel Seely Technical Marketing Manager Military &
Defect Tolerance for Nanocomputer Architecture
FPGA Defect Tolerance: Impact of Granularity
Programmable Logic- How do they do that?
Hardware Assisted Fault Tolerance Using Reconfigurable Logic
A New Hybrid FPGA with Nanoscale Clusters and CMOS Routing Reza M. P
Reconfigurable Computing (EN2911X, Fall07)
Presentation transcript:

FPGA Defect Tolerance: Impact of Granularity Anthony YuGuy Lemieux December 14, 2005

2Field-Programmable Technology (FPT) '05 Outline Introduction and motivation Introduction and motivation Previous works Previous works New architectures New architectures Coarse-grain redundancy (CGR) Coarse-grain redundancy (CGR) Fine-grain redundancy (FGR) Fine-grain redundancy (FGR) Experimentation Results Experimentation Results Conclusions Conclusions

3Field-Programmable Technology (FPT) '05 Introduction and Motivation Scaling introduces new types of defects Scaling introduces new types of defects Smaller feature sizes susceptible to smaller defects Smaller feature sizes susceptible to smaller defects Expected results Expected results Defects per chip increases Defects per chip increases Chip yield declines Chip yield declines FPGAs are mostly interconnect FPGAs are mostly interconnect FPGAs must tolerate multiple interconnect defects to improve yield (and $$$) FPGAs must tolerate multiple interconnect defects to improve yield (and $$$)

4Field-Programmable Technology (FPT) '05 General Defect Tolerant Techniques Defect-tolerant techniques minimize impact (cost) of manufacturing defects Defect-tolerant techniques minimize impact (cost) of manufacturing defects FPGA defect-tolerance can be loosely categorized into three classes: FPGA defect-tolerance can be loosely categorized into three classes: Software Redundancy – use CAD tools to map around the defects Software Redundancy – use CAD tools to map around the defects Hardware Redundancy – incorporate spare resources to assist in defect correction (eg. Spare row/column) Hardware Redundancy – incorporate spare resources to assist in defect correction (eg. Spare row/column) Run-time Redundancy – protection against transient faults such as SEUs (eg. TMR) Run-time Redundancy – protection against transient faults such as SEUs (eg. TMR)

5Field-Programmable Technology (FPT) '05 Previous work – 1 – Xilinx Xilinx’s Defect-Tolerant Approach Xilinx’s Defect-Tolerant Approach Customer (knowingly) purchases “less that perfect” parts Customer (knowingly) purchases “less that perfect” parts Customer gives Xilinx configuration bitstream Customer gives Xilinx configuration bitstream Xilinx tests FPGA devices against bitstream Xilinx tests FPGA devices against bitstream Sells FPGA parts that “appear” perfect Sells FPGA parts that “appear” perfect Defects avoid the bitstream Defects avoid the bitstream Limitation: Limitation: Chips work only with given bitstream – no changes! Chips work only with given bitstream – no changes!

6Field-Programmable Technology (FPT) '05 Previous work – 2 – Altera Altera’s Defect-Tolerant Approach Altera’s Defect-Tolerant Approach Customer purchases “seemingly perfect” parts Customer purchases “seemingly perfect” parts Make defective resources inaccessible to user Make defective resources inaccessible to user Coarse-grain architecture Coarse-grain architecture Spare row and column in array (like memories) Spare row and column in array (like memories) Defective row/column must be bypassed Defective row/column must be bypassed Use the spare row/column instead Use the spare row/column instead Limitation: Limitation: Does not scale well (multiple defects) Does not scale well (multiple defects)

7Field-Programmable Technology (FPT) '05 Objective Problem Problem FPGA yield is on decline because of aggressive technology scaling FPGA yield is on decline because of aggressive technology scaling Proposed Solutions Proposed Solutions Defect-tolerance through redundancy Defect-tolerance through redundancy Important Objectives Important Objectives Interconnect defects important (dominates area) Interconnect defects important (dominates area) Tolerate multiple defects (future trend) Tolerate multiple defects (future trend) Preserve timing (no timing re-verification) Preserve timing (no timing re-verification) Fast correction time (production use) Fast correction time (production use) Understand the factors that influence yield Understand the factors that influence yield

Background

9Field-Programmable Technology (FPT) '05 Island-style FPGA

10Field-Programmable Technology (FPT) '05 Directional Switch Block

11Field-Programmable Technology (FPT) '05 Directional Switch Block

Course-grain Redundancy (CGR)

13Field-Programmable Technology (FPT) '05 Coarse-grain Redundancy (CGR)

14Field-Programmable Technology (FPT) '05 So…what’s wrong with it?

15Field-Programmable Technology (FPT) '05 Improving yield for CGR – Adding Multiple Global Spares Add multiple global spare to traditional CGR Add multiple global spare to traditional CGR Global spares can be used to repair any defective row/column in the array Global spares can be used to repair any defective row/column in the array Wire extensions are now longer Wire extensions are now longer

16Field-Programmable Technology (FPT) '05 Yield Impact of Multiple Global Spares

17Field-Programmable Technology (FPT) '05 Increasing Area+Delay Overhead 1 GLOBAL SPARE 2 GLOBAL SPARES 4 GLOBAL SPARES MAY BE IMPRACTICAL !!! NO SPARES MORE SPARES  MORE MUX OVERHEAD IN EVERY SWITCH ELEMENT

18Field-Programmable Technology (FPT) '05 Improving yield for CGR – Adding Multiple Local Spares Divide FPGA into subdivisions Divide FPGA into subdivisions Each subdivision has local spare(s) Each subdivision has local spare(s) Distributes spares across chip Distributes spares across chip Reduces mux area overhead (of Global scheme) Reduces mux area overhead (of Global scheme) Limitation: Limitation: Spare(s) can only repair defect within the subdivision Spare(s) can only repair defect within the subdivision

19Field-Programmable Technology (FPT) '05 Yield Impact of Multiple Local Spares (not as good as Global with same # spares)

Fine-grain Redundancy (FGR)

21Field-Programmable Technology (FPT) '05 Fine-grain Redundancy (FGR) – Defect Avoidance by Shifting

22Field-Programmable Technology (FPT) '05 Defect-tolerant Switch Block

23Field-Programmable Technology (FPT) '05 Switch Implementation Options Several detailed implementations are possible Trade off area / delay / yield(repairability)

24Field-Programmable Technology (FPT) '05 Minimum Fault-free Radius (MFFR)

25Field-Programmable Technology (FPT) '05 Experimentation Results Switch implementation Switch implementation Array size Array size Wire length Wire length Area Area Summary Summary

26Field-Programmable Technology (FPT) '05 Switch Implementation * Assumes all bridging defects

27Field-Programmable Technology (FPT) '05 Fixed Array Size (32x32) – Global Sparing

28Field-Programmable Technology (FPT) '05 Fixed Array Size (32x32) – Local Sparing

29Field-Programmable Technology (FPT) '05 Increasing Array Size

30Field-Programmable Technology (FPT) '05 Yield for Varying Wire Length

31Field-Programmable Technology (FPT) '05 Estimated Area overhead at equal yield (80%) * CGR-G1 can only tolerate 1-2 defects

32Field-Programmable Technology (FPT) '05 Limitations of Study & Architectures Logic and power/ground shorts were not considered Logic and power/ground shorts were not considered Assumed that all defects are randomly distributed Assumed that all defects are randomly distributed Assumed that all defects can be corrected with a single row/column Assumed that all defects can be corrected with a single row/column Switch area was not accounted for our yield model Switch area was not accounted for our yield model Area results for CGR are approximated Area results for CGR are approximated

33Field-Programmable Technology (FPT) '05 Conclusions CGR effective for 1 or 2 defects CGR is effective for 1 or 2 defects FGR meets desired objectives: FGR meets desired objectives: Tolerates multiple randomly distributed defects Tolerates multiple randomly distributed defects Defect correction does not perturb timing Defect correction does not perturb timing Tolerates an increasing number of defects as array size increases Tolerates an increasing number of defects as array size increases Correction can be applied quickly Correction can be applied quickly

Thank you!

35Field-Programmable Technology (FPT) '05 Summary As the density of FPGAs increase, they becoming in susceptible to manufacturing defects As the density of FPGAs increase, they becoming in susceptible to manufacturing defects Fault-redundant techniques alleviate this growing problem Fault-redundant techniques alleviate this growing problem Depending on the desired level of protection, we can apply different techniques Depending on the desired level of protection, we can apply different techniques At low defect rates, the spare row and column approach has lower overhead than the fine-grain approach At low defect rates, the spare row and column approach has lower overhead than the fine-grain approach At large array sizes, the spare row and column approach requires more area overhead to tolerate the same number of defects as the fine-grain approach At large array sizes, the spare row and column approach requires more area overhead to tolerate the same number of defects as the fine-grain approach