Evaluation of Redundancy Analysis Algorithms for Repairable Embedded Memories by Simulation Laboratory for Reliable Computing (LaRC) Electrical Engineering.

Slides:



Advertisements
Similar presentations
Survey of Detection, Diagnosis, and Fault Tolerance Methods in FPGAs
Advertisements

Defect Tolerance for Yield Enhancement of FPGA Interconnect Using Fine-grain and Coarse-grain Redundancy Anthony J. YuGuy G.F. Lemieux September 15, 2005.
MEMORY BIST by: Saeid Hashemi Mehrdad Falakparvaz
By WAN ZUHA WAN HASAN (UPM) DEPARTMENT OF ELECTRICAL, ELECTRONIC AND SYSTEM, FACULTY OF ENGINEERING UKM Supervised by PROF DR MASURI OTHMAN (UKM) Co-supervisor.
A Graph-Partitioning-Based Approach for Multi-Layer Constrained Via Minimization Yih-Chih Chou and Youn-Long Lin Department of Computer Science, Tsing.
An Integrated ECC and Redundancy Repair Scheme for Memory Reliability Enhancement National Tsing Hua University Hsinchu, Taiwan Chin-Lung Su, Yi-Ting Yeh,
1 A Random Access Scan Architecture to Reduce Hardware Overhead Anand S. Mudlapur Vishwani D. Agrawal Adit D. Singh Department of Electrical and Computer.
COE 444 – Internetwork Design & Management Dr. Marwan Abu-Amara Computer Engineering Department King Fahd University of Petroleum and Minerals.
Presenter: Jyun-Yan Li A Software-Based Self-Test Methodology for On-Line Testing of Processor Caches G. Theodorou, N. Kranitis, A. Paschalis, D. Gizopoulos.
NATW 2008 Using Implications for Online Error Detection Nuno Alves, Jennifer Dworak, R. Iris Bahar Division of Engineering Brown University Providence,
A Study of Energy Efficiency Methods for Memory Mao-Yin Wang & Cheng-Wen Wu.
Defect Tolerance for Yield Enhancement of FPGA Interconnect Using Fine-grain and Coarse-grain Redundancy Anthony J. Yu August 15, 2005.
Defect Tolerance for Yield Enhancement of FPGA Interconnect Using Fine-grain and Coarse-grain Redundancy Anthony J. Yu August 15, 2005.
BIST for Logic and Memory Resources in Virtex-4 FPGAs Sachin Dhingra, Daniel Milton, and Charles Stroud Electrical and Computer Engineering Auburn University.
University of Michigan Electrical Engineering and Computer Science University of Michigan Electrical Engineering and Computer Science University of Michigan.
Embryonics: A New Methodology for Designing Field-Programmable Gate Arrays with Self-Repair and Self-Replicating Properties Laboratory for Reliable Computing.
Hsiang-Chieh Liao 01/15/04 FFAST: An FPGA Fault Simulation Tool for Stuck-at and Path-Delay Faults Laboratory for Reliable Computing (LaRC) Electrical.
Core-based SoCs Testing Julien Pouget Embedded Systems Laboratory (ESLAB) Linköping University Julien Pouget Embedded Systems Laboratory (ESLAB) Linköping.
Flash Memory Fault Modeling and Test Algorithm Development
1 FPGA Lab School of Electrical Engineering and Computer Science Ohio University, Athens, OH 45701, U.S.A. An Entropy-based Learning Hardware Organization.
Build-In Self-Test of FPGA Interconnect Delay Faults Laboratory for Reliable Computing (LaRC) Electrical Engineering Department National Tsing Hua University.
Lecture 27 Memory and Delay-Fault Built-In Self-Testing
Design Technology Center National Tsing Hua University Flash Memory Built-In Self-Test Using March-Like Algorithms Jen-Chieh Yeh, Chi-Feng Wu, Kuo-Liang.
FPGA Defect Tolerance: Impact of Granularity Anthony YuGuy Lemieux December 14, 2005.
Design Technology Center National Tsing Hua University A New Paradigm for Scan Chain Diagnosis Using Signal Processing Techniques Shi-Yu Huang ( 黃錫瑜 )
Laboratory for Reliable Computing (LaRC) Department of Electrical Engineering National Tsing Hua University Hsinchu, Taiwan Flash Memory Built-In.
1 At-Speed BISR Analyzer for Embedded Word-Oriented Memories Xiaogang Du Sudhakar M. Reddy ECE Department University of Iowa 17 th International Conference.
The Memory/Logic Interface in FPGA’s with Large Embedded Memory Arrays The Memory/Logic Interface in FPGA’s with Large Embedded Memory Arrays Steven J.
An Automatic AMBA Wrapper Generation Tool for Embedded Cores Laboratory for Reliable Computing (LaRC) Electrical Engineering Department National Tsing.
IC-SOC Plan on Embedded Memory Defect Diagnostics and Yield Improvement Cheng-Wen Wu.
BIST vs. ATPG.
Diagnostic and Detection Fault Collapsing for Multiple Output Circuits Raja K. K. R. Sandireddy and Vishwani D. Agrawal Dept. Of Electrical and Computer.
Techniques and Algorithms for Fault Grading of FPGA Interconnect Test Configurations Mehdi Baradaran Tahoori and Subhasish Mitra IEEE Transactions on Computer-Aided.
Laboratory of Reliable Computing Department of Electrical Engineering National Tsing Hua University Hsinchu, Taiwan Flash Memory Built-in Self-Test with.
Software-Based Online Detection of Hardware Defects: Mechanisms, Architectural Support, and Evaluation Kypros Constantinides University of Michigan Onur.
EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Xiaodong Wang  Dilip Vasudevan Hsien-Hsin Sean Lee University of College Cork  Georgia Tech Global Built-In Self-Repair for 3D Memories with Redundancy.
L i a b l eh kC o m p u t i n gL a b o r a t o r y On Effective TSV Repair for 3D- Stacked ICs Li Jiang †, Qiang Xu † and Bill Eklow § † CUhk REliable.
Testimise projekteerimine: Labor 2 BIST Optimization
L i a b l eh kC o m p u t i n gL a b o r a t o r y Yield Enhancement for 3D-Stacked Memory by Redundancy Sharing across Dies Li Jiang, Rong Ye and Qiang.
Presenter: Jyun-Yan Li A software-based self-test methodology for in-system testing of processor cache tag arrays G. Theodorou, N. Kranitis, A. Paschalis.
Probability and Statistics Required!. 2 Review Outline  Connection to simulation.  Concepts to review.  Assess your understanding.  Addressing knowledge.
A Low-Power CAM Design for LZ Data Compression Kun-Jin Lin and Cheng-Wen Wu, IEEE Trans. On computers, Vol. 49, No. 10, Oct Presenter: Ming-Hsien.
Reconfiguration Based Fault-Tolerant Systems Design - Survey of Approaches Jan Balach, Jan Balach, Ondřej Novák FIT, CTU in Prague MEMICS 2010.
Fault models Stuck-at Stuck-at-1 Reset coupling 0 0 Set coupling Inversion coupling Transition  /0 0 1 Transition  /1 1.
THE TESTING APPROACH FOR FPGA LOGIC CELLS E. Bareiša, V. Jusas, K. Motiejūnas, R. Šeinauskas Kaunas University of Technology LITHUANIA EWDTW'04.
EE141 VLSI Test Principles and Architectures Ch. 9 - Memory Diagnosis & BISR - P. 1 1 Chapter 9 Memory Diagnosis and Built-In Self-Repair.
RDIS: A Recursively Defined Invertible Set Scheme to Tolerate Multiple Stuck-At Faults in Resistive Memory Rami Melhem, Rakan Maddah and Sangyeun cho Computer.
Built-In Self-Test/Self-Diagnosis for RAMs
Business Trends and Design Methodologies for IP Reuse Allen C.-H. Wu Department of Computer Science Tsing Hua University Hsinchu, Taiwan, R.O.C {
Test Architecture Design and Optimization for Three- Dimensional SoCs Li Jiang, Lin Huang and Qiang Xu CUhk Reliable Computing Laboratry Department of.
Efficient On-line Interconnect BIST in FPGAs with Provable Detectability for Multiple Faults Vishal Suthar and Shantanu Dutt Dept. of ECE University of.
Section 1  Quickly identify faulty components  Design new, efficient testing methodologies to offset the complexity of FPGA testing as compared to.
Physical Memory and Physical Addressing By Alex Ames.
In-Place Decomposition for Robustness in FPGA Ju-Yueh Lee, Zhe Feng, and Lei He Electrical Engineering Dept., UCLA Presented by Ju-Yueh Lee Address comments.
Deterministic Diagnostic Pattern Generation (DDPG) for Compound Defects Fei Wang 1,2, Yu Hu 1, Huawei Li 1, Xiaowei Li 1, Jing Ye 1,2 1 Key Laboratory.
Low Power IP Design Methodology for Rapid Development of DSP Intensive SOC Platforms T. Arslan A.T. Erdogan S. Masupe C. Chun-Fu D. Thompson.
Defect-tolerant FPGA Switch Block and Connection Block with Fine-grain Redundancy for Yield Enhancement Anthony J. YuGuy G.F. Lemieux August 25, 2005.
A Survey of Fault Tolerant Methodologies for FPGA’s Gökhan Kabukcu
Selective Code Compression Scheme for Embedded System
Samuel Luckenbill1, Ju-Yueh Lee2, Yu Hu3, Rupak Majumdar1, and Lei He2
Defect Tolerance for Nanocomputer Architecture
Virtually Pipelined Network Memory
Defect and High Level Fault Modeling in Digital Systems
Semiconductor Memories
Digital Logic & Design Dr. Waseem Ikram Lecture 40.
Sungho Kang Yonsei University
Hardware Assisted Fault Tolerance Using Reconfigurable Logic
Reseeding-based Test Set Embedding with Reduced Test Sequences
A Random Access Scan Architecture to Reduce Hardware Overhead
Presentation transcript:

Evaluation of Redundancy Analysis Algorithms for Repairable Embedded Memories by Simulation Laboratory for Reliable Computing (LaRC) Electrical Engineering Department National Tsing Hua University Rei-Fu Huang, Jin-Fu Li, Jen-Chieh Yeh, and Cheng-Wen Wu

2 Outline  Introduction  RA Algorithm Evaluation by Simulation  Simulation Time Reduction  RA Design Verification  Experimental Results  Conclusions

3 Introduction  Memory cores dominate the SOC silicon area  Density of memory circuit and layout is much higher than logic  Yield of memory cores thus dominates yield of the SOC  Improving the yield of the memory cores is an increasingly important issue  Effective built-in redundancy-analysis and self- repair methodologies need to be developed

4 Chip Area Breakdown Source: International Technology Roadmap for Semiconductors (ITRS), 2000

5 Memory BISR Design  What and how to design memory BISR?  Memory spec  Yield analysis  Redundancy analysis algorithm develop  Repair rate evaluation  Spare elements selection  BISR design  Verification

6 Redundancy Analysis  RA algorithm is the main factor affecting repair efficiency Faulty Memory Method 1: Row first Can not repair Method 2: Column first Can not repair Method 3: Greedy Can be repaired RA is important

7 RA Simulation and Verification

8 Memory Specification File # Memory Configuration Oriented = 1 # word-oriented or bit-oriented Word_Length = 16 Block_Size = 256x16 Block_Count = 4 #Redundancy Design Spare_Rows = 2 Spare_Columns = 8 # Defects and Faults Prob. Random_Defects = 20 Faulty_Rows = 15 Faulty_Columns = 10 Cluster_Faults = 5 # Test Algorithms March=

9 Defect Injection  Only point defects are assumed (no bulk defects)  Defect count distributions:  Poisson, Gamma, Negative binomial, etc.  Defect locations:  Randomly distributed on wafer/die  Defect distribution is process dependent

10 Fault Translation  Defects lead to:  Faulty address decoder  Faulty sense amplifier  Faulty cells due to, e.g., coupling between bit- lines/word-lines  Defects are translated to:  Single cell fault  Faulty row  Faulty column  Cluster fault  Etc.  User can set the probability of each fault type

11 Test Algorithm Simulation  Fault information collected on-line  Each Read operation provides different information  Each fault can be detected by different Read operation  BISR is an on-the-fly repair scheme  The fault detection of test algorithm should be considered at RA simulation

12 Example: March C– Test FaultR1R1 R2R2 R3R3 R4R4 R5R5 R1R1 R2R2 R3R3 R4R4 R5R5 SAF(0).1.1. SAF(1) TF(U).1.1. TF(D)..0.0 CF in (D;~)<.1..0 CF in (D;~)>..01. CF in (U;~)< CF in (U;~)>.10.. CF st (0;0)<.1... CF st (0;0)>...1. CF st (0;1)<..0.0 CF st (0;1)> 0...0

13 Redundancy Analysis  Our RA simulator evaluates different RA algorithms and report the respective repair rates and area overheads  The RA algorithms are provided by the user  Using function calls  Spare element types:  Row, column, word, bit, etc  Global/local  Shared/non-shared

14 Repair Rate Evaluation   3-D plot for repair rate

15 Yield and Repair Rate  Notations  Y: total yieldA: main memory area  A r : redundant memory area  A c : logic circuit of BISR area  d m : defect density of memory cores  d c : defect density of logic cores  RR: repair rate  Yield can be derived from repair rate

16 Graphical User Interface

17 Simulation Time Reduction  Criterion 1: N ⊕ N sr + N sc  can’t repair  Criterion 2: N sr + N sc – N fr – N fc N sfc  repairable A faulty memory with N ⊕ = 8 N ⊕ : orthogonal cell count N sr : spare row count N sc : spare column count N fr : faulty row count N fc : faulty column count N sfc : single faulty cell count

18 RA Design Verification  During BISR design, the verification is harder  BISR includes BISD, BIRA, and Address Reconfiguration circuit  Verification of each part can be done, but the whole BISR verification can not be done easily  The pattern for BISR verification is diversified fault bit maps  The simulator also can generate many diversification fault bit maps

19 Verification Flow

20 Bit-Oriented Memory Example  Size: 2048x55  Single block  Defects: 1-20 (Poisson distribution)  Faulty rows: 15%  Single faulty cells: 85%  Spare rows: 1-10  Spare columns: 1-10

21 Experimental Result RCRRAreaRCRRArea % % % % % % % % % % % % % % % % % % % % % % % % % %10570 Target repair rate: 75% Area overhead is less than 5000 cells

22 Word-Oriented BISR  Memory size: 8K x 64 (8K x 32 x 2)  Spare element (generate by memory compiler)  4 spare row  2 spare column group (4 column/group)  RA algorithm split column in to 4 segments  BISR gate count: 5.6K  Hardware overhead of spare element: 4.57%  Hardware overhead of BISR: 4.6% Source: “A built-in self-repair scheme for semiconductor memories with 2-D redundancy”, ITC 2003.

23 RR with Different Group Size

24 Test Chip

25 Conclusions  We developed a simulator for evaluating the embedded-memory repair rates under different  Redundancy analysis algorithms  Spare-element configurations  It helps evaluate built-in redundancy-analysis algorithms and develop self-repair schemes  It also helps BISR design verification  It is fast and effective