Design Bright-Field AAPSM Conflict Detection and Correction C. Chiang, Synopsys A. Kahng, UC San Diego S. Sinha, Synopsys X. Xu, UC San Diego A. Zelikovsky,

Slides:



Advertisements
Similar presentations
The Primal-Dual Method: Steiner Forest TexPoint fonts used in EMF. Read the TexPoint manual before you delete this box.: AA A A AA A A A AA A A.
Advertisements

Bart Jansen 1.  Problem definition  Instance: Connected graph G, positive integer k  Question: Is there a spanning tree for G with at least k leaves?
New Graph Bipartizations for Double-Exposure, Bright Field Alternating Phase-Shift Mask Layout Andrew B. Kahng (UCSD) Shailesh Vaya (UCLA) Alex Zelikovsky.
Greedy Algorithms.
Bipartite Matching, Extremal Problems, Matrix Tree Theorem.
Native-Conflict-Aware Wire Perturbation for Double Patterning Technology Szu-Yu Chen, Yao-Wen Chang ICCAD 2010.
Greedy Algorithms Greed is good. (Some of the time)
Chris A. Mack, Fundamental Principles of Optical Lithography, (c) 2007
Tutorial on Subwavelength Lithography DAC 99
Minimum Implant Area-Aware Gate Sizing and Placement
Bart Jansen, Utrecht University. 2  Max Leaf  Instance: Connected graph G, positive integer k  Question: Is there a spanning tree for G with at least.
Label Placement and graph drawing Imo Lieberwerth.
Polynomial Time Approximation Schemes Presented By: Leonid Barenboim Roee Weisbert.
1 Minimum Ratio Contours For Meshes Andrew Clements Hao Zhang gruvi graphics + usability + visualization.
Rajat K. Pal. Chapter 3 Emran Chowdhury # P Presented by.
Last time: terminology reminder w Simple graph Vertex = node Edge Degree Weight Neighbours Complete Dual Bipartite Planar Cycle Tree Path Circuit Components.
CSC5160 Topics in Algorithms Tutorial 2 Introduction to NP-Complete Problems Feb Jerry Le
Dual Graph-Based Hot Spot Detection Andrew B. Kahng 1 Chul-Hong Park 2 Xu Xu 1 (1) Blaze DFM, Inc. (2) ECE, University of California at San Diego.
Automated Layout and Phase Assignment for Dark Field PSM Andrew B. Kahng, Huijuan Wang, Alex Zelikovsky UCLA Computer Science Department
A general approximation technique for constrained forest problems Michael X. Goemans & David P. Williamson Presented by: Yonatan Elhanani & Yuval Cohen.
Enhanced Resist and Etch CD Control by Design Perturbation Abstract Etch dummy features are used to reduce CD skew between resist and etch processes and.
Yield- and Cost-Driven Fracturing for Variable Shaped-Beam Mask Writing Andrew B. Kahng CSE and ECE Departments, UCSD Xu Xu CSE Department, UCSD Alex Zelikovsky.
Fast and Area-Efficient Phase Conflict Detection and Correction in Standard-Cell Layouts Charles Chiang, Synopsys Andrew B. Kahng, UC San Diego Subarna.
UCSD VLSI CAD Laboratory BACUS-2008 Revisiting the Layout Decomposition Problem for Double Patterning Lithography Andrew B. Kahng, Chul-Hong Park, Xu Xu,
Toward Performance-Driven Reduction of the Cost of RET-Based Lithography Control Dennis Sylvester Jie Yang (Univ. of Michigan,
New Graph Bipartizations for Double-Exposure, Bright Field Alternating Phase-Shift Mask Layout Andrew B. Kahng (UCSD) Shailesh Vaya (UCLA) Alex Zelikovsky.
Chapter 4: Straight Line Drawing Ronald Kieft. Contents Introduction Algorithm 1: Shift Method Algorithm 2: Realizer Method Other parts of chapter 4 Questions?
Toward a Methodology for Manufacturability-Driven Design Rule Exploration Luigi Capodieci, Puneet Gupta, Andrew B. Kahng, Dennis Sylvester, and Jie Yang.
Detailed Placement for Leakage Reduction Using Systematic Through-Pitch Variation Andrew B. Kahng †‡ Swamy Muddu ‡ Puneet Sharma ‡ CSE † and ECE ‡ Departments,
Triple Patterning Aware Detailed Placement With Constrained Pattern Assignment Haitong Tian, Yuelin Du, Hongbo Zhang, Zigang Xiao, Martin D.F. Wong.
Metal Layer Planning for Silicon Interposers with Consideration of Routability and Manufacturing Cost W. Liu, T. Chien and T. Wang Department of CS, NTHU,
Approximation Algorithms Motivation and Definitions TSP Vertex Cover Scheduling.
Subwavelength Optical Lithography: Challenges and Impact on Physical Design Part II: Problem Formulations and Tool Integration Andrew B. Kahng, UCLA CS.
Hardness Results for Problems
1 ENTITY test is port a: in bit; end ENTITY test; DRC LVS ERC Circuit Design Functional Design and Logic Design Physical Design Physical Verification and.
A Topology-based ECO Routing Methodology for Mask Cost Minimization Po-Hsun Wu, Shang-Ya Bai, and Tsung-Yi Ho Department of Computer Science and Information.
1 Introduction to Approximation Algorithms. 2 NP-completeness Do your best then.
Hongbo Zhang, Yuelin Du, Martin D.F. Wong, Yunfei Deng, Pawitter Mangat Synopsys Inc., USA Dept. of ECE, Univ. of Illinois at Urbana-Champaign GlobalFoundries.
Efficient Gathering of Correlated Data in Sensor Networks
Global Routing.
Low-Power Gated Bus Synthesis for 3D IC via Rectilinear Shortest-Path Steiner Graph Chung-Kuan Cheng, Peng Du, Andrew B. Kahng, and Shih-Hung Weng UC San.
Lecture 16 Maximum Matching. Incremental Method Transform from a feasible solution to another feasible solution to increase (or decrease) the value of.
Week 10Complexity of Algorithms1 Hard Computational Problems Some computational problems are hard Despite a numerous attempts we do not know any efficient.
CP Summer School Modelling for Constraint Programming Barbara Smith 2. Implied Constraints, Optimization, Dominance Rules.
Tao Lin Chris Chu TPL-Aware Displacement- driven Detailed Placement Refinement with Coloring Constraints ISPD ‘15.
Techniques for Proving NP-Completeness Show that a special case of the problem you are interested in is NP- complete. For example: The problem of finding.
Register Placement for High- Performance Circuits M. Chiang, T. Okamoto and T. Yoshimura Waseda University, Japan DATE 2009.
Pattern Sensitive Placement For Manufacturability Shiyan Hu, Jiang Hu Department of Electrical and Computer Engineering Texas A&M University College Station,
Pattern Sensitive Placement For Manufacturability Shiyan Hu, Jiang Hu Department of Electrical and Computer Engineering Texas A&M University College Station,
Data Structures & Algorithms Graphs
Graph Colouring L09: Oct 10. This Lecture Graph coloring is another important problem in graph theory. It also has many applications, including the famous.
An Efficient Linear Time Triple Patterning Solver Haitong Tian Hongbo Zhang Zigang Xiao Martin D.F. Wong ASP-DAC’15.
Yen-Ting Yu Iris Hui-Ru Jiang Yumin Zhang Charles Chiang DRC-Based Hotspot Detection Considering Edge Tolerance and Incomplete Specification ICCAD’14.
Chin-Hsiung Hsu, Yao-Wen Chang, and Sani Rechard Nassif From ICCAD09.
Homework - hints Problem 1. Node weights  Edge weights
2/27/ VLSI Physical Design Automation Prof. David Pan Office: ACES Detailed Routing (II)
The minimum cost flow problem. Solving the minimum cost flow problem.
1 Double-Patterning Aware DSA Template Guided Cut Redistribution for Advanced 1-D Gridded Designs Zhi-Wen Lin and Yao-Wen Chang National Taiwan University.
Prof. Yu-Chee Tseng Department of Computer Science
VLSI Physical Design Automation
VLSI Physical Design Automation
The minimum cost flow problem
Graph theory Definitions Trees, cycles, directed graphs.
Graph Algorithm.
Planarity Testing.
Lecture 16 Maximum Matching
1.3 Modeling with exponentially many constr.
Automated Layout and Phase Assignment for Dark Field PSM
VLSI Physical Design Automation
Presentation transcript:

Design Bright-Field AAPSM Conflict Detection and Correction C. Chiang, Synopsys A. Kahng, UC San Diego S. Sinha, Synopsys X. Xu, UC San Diego A. Zelikovsky, GSU

© 2005 Synopsys, Inc. (2) 22 Outline Introduction AAPSM Conflict Detection AAPSM Conflict Correction Conclusions & Future Work

© 2005 Synopsys, Inc. (3) 33 Outline

© 2005 Synopsys, Inc. (4) 44 Sub-wavelength Lithography Above Wavelength SubWavelength Silicon Feature Size 3.0  m 2.0  m 1.0  m 0.6  m 0.35  m 45nm 65nm 90nm 0.13  m 0.18  m 0.25  m Lithography Wavelength 436nm 365nm 248nm 193nm 157nm 32nm

© 2005 Synopsys, Inc. (5) 55 RET to the rescue.. Design Mask Wafer 250nm 180nm OPC 90nm and Below PSM 0°0° 180° OPC 0°0° 180°

© 2005 Synopsys, Inc. (6) 66 AAPSM: Enabling Technology Alternating Aperture Phase Shift Mask (AAPSM): Phase- modulation at the mask level to increase resolution capabilities of optical lithography. Mask 0.11  m Printed using a 0.35 um nominal process Benefits: - Smaller feature sizes. - Improved yield (tighter process control). - Extended useful life of current equipment. 180 o phase-shifter At smaller technology nodes, both gates regions and field poly will need AAPSM.

© 2005 Synopsys, Inc. (7) 77 Implications on Design Critical Feature Overlapping Shifters <d Condition 1: Shifters on two sides of critical features must have opposite phases. Critical Feature: Feature that is smaller than pre-specified threshold. Condition 2: Shifters that are separated by less than a certain spacing should be merged and assigned the same phase. Imposes additional constraints on layout design besides traditional design rules Shifter

© 2005 Synopsys, Inc. (8) 88 Phase-Assignable Layouts Layout that satisfies conditions 1 and 2 is called a phase-assignable layout. Layouts that obey all design rules may not always satisfy these conditions: AAPSM Conflict: Adjacent Shifter pair that belongs to a cyclic sequence of phase dependencies that cannot be properly mapped

© 2005 Synopsys, Inc. (9) 99 Local AAPSM Conflicts versus Global AAPSM Conflicts Local Conflicts  T-shapes and line-end conflicts. Can be detected using DRC rules : Prior work in industry. Global Conflicts Hard to detect and correct. Our Focus. Local Conflict Global Conflict

© 2005 Synopsys, Inc. (10) 10 Outline

© 2005 Synopsys, Inc. (11) 11 Conflict Detection: Bipartite Formulation Build graph from layout such that,  Layout is phase-assignable  Graph is bipartite.  Our graph: Phase Conflict Graph. Conflict Detection  Minimum-weight bipartization problem on constructed graph.  Minimum-weight Bipartization: Find minimum weight set E’ such that the modified graph G’=(V,E-E’) is bipartite. NP-hard: general graphs, polynomial time: planar graphs. Edges deleted during bipartization  AAPSM Conflicts for Correction.

© 2005 Synopsys, Inc. (12) 12 AAPSM Conflict Detection Flow Layout L Build Phase Conflict Graph G. D  0; P  0. Build planar graph G P from G by deleting minimal set of crossing edges E. P  E.. E’  Edges deleted by Pl_bipartize to make G P bipartite. D  E’.. For each edge e  P, add e to D if e belongs to an odd cycle in G. D denotes AAPSM conflicts selected for correction. Pl_bipartize: Polynomial- Time Optimal Bipartization Algorithm for planar graphs. Pl_bipartize: Polynomial- Time Optimal Bipartization Algorithm for planar graphs. Key idea: Solve a large part of the bipartization problem using optimal polynomial-time algorithm.

© 2005 Synopsys, Inc. (13) 13 Phase Conflict Graph Each shifter is represented by an edge shifter node. Rule 1: Connect the shifter nodes with an edge. Rule 2: Connect edge shifter nodes of overlapping shifters and subdivide the line by an overlap node. Overlap node Edge shifter node

© 2005 Synopsys, Inc. (14) 14 Previous Work in Conflict Detection Greedy Bipartization Schemes:  Spanning Tree-Based Algorithm most successful.  Build maximum spanning tree from the given graph.  Edges not included in the tree are the chosen AAPSM errors. Optimal Solution for layouts that obey certain restrictions.  Use a different graph construction from the layout called the feature graph.  Uses Pl_Bipartize for bipartization.

© 2005 Synopsys, Inc. (15) 15 Phase Conflict Graph versus Feature Graph Feature graph 13 edges Conflict graph 9 edges 1. Smaller graph size. 2. More nodes/edges have to be deleted during planar embedding of feature graph.  Edges may intersect.  Nodes may overlap.

© 2005 Synopsys, Inc. (16) 16 Results for Conflict Detection

© 2005 Synopsys, Inc. (17) 17 Outline

© 2005 Synopsys, Inc. (18) 18 Runtime Optimization Pl_Bipartize : Bipartization in phase conflict graph  T-join problem on dual graph  Perfect matching on gadget graph. # nodes in gadget graph ~ # edges in dual graph ~ # edges in the conflict graph Improved reduction from T-join to perfect matching:  generalized gadgets reduces node count of gadget graph  20% runtime reduction. Can we also reduce # edges in phase conflict graph? Overlap nodes are added to make the graph bipartite : bipartite formulation really necessary? Overlap node

© 2005 Synopsys, Inc. (19) 19 Modified Phase Conflict Graph Use edge shifter node to represent each shifter (same as before).  Connect two shifter nodes of the same feature with feature edge.  Connect overlapping shifters with overlap edge. Overlap edge Feature edge

© 2005 Synopsys, Inc. (20) 20 Conflict Detection: Non-bipartite formulation New coloring problem:  Two nodes connected by overlap edge have the same color.  Two nodes connected by feature edge have different colors. Conflict cycle = cycle with odd # feature edge. Conflict Detection  Remove all conflict cycles in the modified phase conflict graph.  T-join formulation needs to be modified for this new problem. Undeletable edges can be removed from the dual graph.

© 2005 Synopsys, Inc. (21) 21 Example Feature graph 13 edges Phase Conflict graph 9 edges Modified Phase Conflict graph 2 edges Modified phase conflict graph+Generalized gadgets: ~7x faster than feature graph+Optimized gadgets.

© 2005 Synopsys, Inc. (22) 22 Outline

© 2005 Synopsys, Inc. (23) 23 Basic Idea of Conflict Correction Two types of AAPSM conflicts chosen for correction:  Shifters on opposite sides of critical feature are of the same phase.  Shifters of opposite phase are overlapping. Modify layout and/or mask to remove these conflicts. Conflicts chosen for correction should depend on correction strategy being used.

© 2005 Synopsys, Inc. (24) 24 Previous Work/Mask-level AAPSM Conflict Correction Modify shifters on mask.  Split shifter region whenever two shifters of opposite phase overlap. Pros: no design modification. Cons:  Increases mask complexity, correction not always possible.  Can negatively affect process latitude.

© 2005 Synopsys, Inc. (25) 25 Layout-level AAPSM Conflict Correction I Increase feature width.  Increase width of certain features that need shifters to make them non-critical. No shifters needed for widened feature. Widen Pros: small change in layout. Cons:  Performance degradation.  Spacing restrictions may not allow widening.

© 2005 Synopsys, Inc. (26) 26 Layout-level AAPSM Conflict Correction II Increase Spacing  Insert vertical or horizontal gaps between shifters of opposite phases. Spacing Pros: small performance penalty as width of gate features remains unchanged. Cons: may lead to larger area increase.

© 2005 Synopsys, Inc. (27) 27 Our Approach Correct AAPSM Conflict  Add space between shifter pair corresponding to the conflict. End to end cuts are inserted to avoid introducing DRC errors. Problem Statement: Given the set of AAPSM conflicts for correction, determine minimum number and widths of end-to-end horizontal and/or vertical spaces that need to be added.

© 2005 Synopsys, Inc. (28) 28 Details of Conflict Correction Layout L, Set D of AAPSM conflicts for correction.. For each AAPSM conflict in D, get intervals where space can be added to correct conflict.. Define a grid in the layout using end-points of the intervals.. Set up weighted set covering problem. Solution of covering problem provides locations and widths of added spaces {1, 2} {2} {3}

© 2005 Synopsys, Inc. (29) 29 Results for Conflict Correction 2

© 2005 Synopsys, Inc. (30) 30 Summary AAPSM Conflict Detection:  Selected smaller number of conflicts for correction compared with previous methods. Maximum reduction: 49.5%, Minimum reduction:16.1%. Smaller is better as this implies smaller amount of modification, either to mask or layout.  Non-bipartite formulation produces ~7x runtime improvement. AAPSM Conflict Correction:  Simple yet efficient layout modification scheme.  Small area increase on the average (4.0%). Tried standard cells and large macro blocks.  Presented scheme quite flexible: Can be modified to solve the maximum number of AAPSM conflicts for a given area increase. Can be combined with mask modification schemes.

© 2005 Synopsys, Inc. (31) 31 Future Work Layout modification is shown to be a feasible approach for AAPSM conflict correction.  Combine several end-to-end cuts to minimize area increase.  Incorporate feature widening as an option to handle all sorts of AAPSM conflicts. Combine with current mask modification solutions.

© 2005 Synopsys, Inc. (32) 32 Thank You!