Lecture 27 Memory and Delay-Fault Built-In Self-Testing

Slides:



Advertisements
Similar presentations
MEMORY BIST by: Saeid Hashemi Mehrdad Falakparvaz
Advertisements

V. Vaithianathan, AP/ECE
Memory Test. Built-In Self Test (BIST) Introduction for Memory Test.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 31/22alt1 Lecture 31 System Test (Lecture 22alt in the Alternative Sequence) n Definition n Functional.
Copyright 2001, Agrawal & BushnellLecture 12: DFT and Scan1 VLSI Testing Lecture 10: DFT and Scan n Definitions n Ad-hoc methods n Scan design  Design.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 261 Lecture 26 Logic BIST Architectures n Motivation n Built-in Logic Block Observer (BILBO) n Test.
MICROELETTRONICA Sequential circuits Lection 7.
Modern VLSI Design 2e: Chapter 8 Copyright  1998 Prentice Hall PTR Topics n High-level synthesis. n Architectures for low power. n Testability and architecture.
Finite State Machine Chapter 10 RTL Hardware Design by P. Chu.
5/13/2015 Based on text by S. Mourad "Priciples of Electronic Systems" Digital Testing: Built-in Self-test.
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Copyright 2005, Agrawal & BushnellVLSI Test: Lecture 21alt1 Lecture 21alt BIST -- Built-In Self-Test (Alternative to Lectures 25, 26 and 27) n Definition.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 71 Lecture 7 Fault Simulation n Problem and motivation n Fault simulation algorithms n Serial n Parallel.
Copyright 2005, Agrawal & BushnellVLSI Test: Lecture 19alt1 Lecture 19alt I DDQ Testing (Alternative for Lectures 21 and 22) n Definition n Faults detected.
Copyright 2001, Agrawal & BushnellDay-2 PM Lecture 101 Design for Testability Theory and Practice Lecture 10: DFT and Scan n Definitions n Ad-hoc methods.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 91 Lecture 9 Combinational Automatic Test-Pattern Generation (ATPG) Basics n Algorithms and representations.
Copyright 2005 Agrawal & BushnellHyderabad, July 27-29, 2006 (Day 3)1  Memory organization  Memory test complexity  Faults and fault models  MATS+
Lecture 28 IEEE JTAG Boundary Scan Standard
Algorithms and representations Structural vs. functional test
1 Lecture 23 Design for Testability (DFT): Full-Scan n Definition n Ad-hoc methods n Scan design Design rules Scan register Scan flip-flops Scan test sequences.
Design for Testability Theory and Practice Lecture 11: BIST
Chapter 7: Testing Of Digital Circuits 1 Testing of Digital Circuits M. Balakrishnan Dept. of Comp. Sci. & Engg. I.I.T. Delhi.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 251 Lecture 25 Built-In Self-Testing Pattern Generation and Response Compaction n Motivation and economics.
Copyright 2005, Agrawal & BushnellVLSI Test: Lecture 15alt1  Definitions of NPSFs  NPSF test algorithms  Parametric tests  Summary  References Lecture.
January 16, '02Agrawal: Delay testing1 Delay Testing of Digital Circuits Vishwani D. Agrawal Agere Systems, Murray Hill, NJ USA
Logic and Computer Design Fundamentals Registers and Counters
Copyright 2005, Agrawal & BushnellVLSI Test: Lecture 20alt1 Lecture 20alt DFT: Partial, Random-Access & Boundary Scan n Definition n Partial-scan architecture.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 161  Notation  Neighborhood pattern sensitive fault algorithms  Cache DRAM and ROM tests  Memory.
Comparison of LFSR and CA for BIST
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 241 Lecture 24 Design for Testability (DFT): Partial-Scan & Scan Variations n Definition n Partial-scan.
ELEN 468 Lecture 251 ELEN 468 Advanced Logic Design Lecture 25 Built-in Self Test.
TOPIC - BIST architectures I
Copyright 2005, Agrawal & BushnellLecture 8: Memory Test1  Memory organization  Memory test complexity  Faults and fault models  MATS+ march test 
3. Built-In Self Test (BIST): Periodical Off-Line Test on the Field 3.1 General Structure Unit Under Test Data Compressor Data Generator Comparator Display.
BIST AND DATA COMPRESSION 1 JTAG COURSE spring 2006 Andrei Otcheretianski.
KU College of Engineering Elec 204: Digital Systems Design
Design for Testability
Testimise projekteerimine: Labor 2 BIST Optimization
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS Design for Testability (DFT) - 2.
CS1Q Computer Systems Lecture 11 Simon Gay. Lecture 11CS1Q Computer Systems - Simon Gay2 The D FlipFlop A 1-bit register is called a D flipflop. When.
Unit IV Self-Test and Test Algorithms
Chapter 3 Digital Logic Structures. Copyright © The McGraw-Hill Companies, Inc. Permission required for reproduction or display. 3-2 Combinational vs.
Fault models Stuck-at Stuck-at-1 Reset coupling 0 0 Set coupling Inversion coupling Transition  /0 0 1 Transition  /1 1.
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS Built-In Self-Test (BIST) - 1.
Logic BIST Logic BIST.
Design for Testability By Dr. Amin Danial Asham. References An Introduction to Logic Circuit Testing.
EE141 VLSI Test Principles and Architectures Ch. 9 - Memory Diagnosis & BISR - P. 1 1 Chapter 9 Memory Diagnosis and Built-In Self-Repair.
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS
More Digital circuits. Ripple Counter The most common counter The problem is that, because more than one output is changing at once, the signal is glichy.
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Chapter 7 Logic Circuits 1.State the advantages of digital technology compared to analog technology. 2. Understand the terminology of digital circuits.
Technical University Tallinn, ESTONIA Copyright by Raimund Ubar 1 Raimund Ubar N.Mazurova, J.Smahtina, E.Orasson, J.Raik Tallinn Technical University.
Technical University Tallinn, ESTONIA 1 Raimund Ubar TTÜ Tallinn, 21. mai 2003 Hästitestitavad ja isetestivad digitaalsüsteemid.
Latches, Flip Flops, and Memory ECE/CS 252, Fall 2010 Prof. Mikko Lipasti Department of Electrical and Computer Engineering University of Wisconsin – Madison.
TITLE : types of BIST MODULE 5.1 BIST basics
1 Modeling Synchronous Logic Circuits Debdeep Mukhopadhyay Associate Professor Dept of Computer Science and Engineering NYU Shanghai and IIT Kharagpur.
Memory Test. - Stuck-at faults, - Transition faults, - Coupling faults, - Decoder faults, - Read/Write Logic faults. Types of faults afecting RAMs:
Hardware Testing and Designing for Testability
VLSI Testing Lecture 10: Memory Test
VLSI Testing Lecture 14: Built-In Self-Test
Motivation and economics Definitions
CPE/EE 428/528 VLSI Design II – Intro to Testing (Part 3)
VLSI Testing Lecture 10: Memory Test
Testing Analog & Digital Products Lecture 8: Memory Test
Sungho Kang Yonsei University
Sequential Logic.
Lecture 26 Logic BIST Architectures
Lecture 16 Pattern Sensitive and Electrical Memory Test
Motivation and economics Definitions
Presentation transcript:

Lecture 27 Memory and Delay-Fault Built-In Self-Testing Definitions Static RAM March Test BIST SRAM BIST with a MISR Neighborhood Pattern Sensitive Fault (NPSF) DRAM BIST Transparent testing Complex examples Delay fault BIST Summary Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 27

Definitions Concurrent BIST – Memory test that happens concurrently with normal system operation Transparent testing – Memory test that is non-concurrent, but preserves the original memory contents from before testing began Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 27

LFSR and Inverse Pattern LFSR NOR gate forces LFSR into all-0 state Get all 2n patterns Normal LFSR: G (x) = x3 + x + 1 Inverse LFSR: G (x) = x3 + x2 + 1 Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 27

Up / Down LFSR D Q D Q D Q Preferred memory BIST pattern generator Satisfies March test conditions M U X 1 M U X 1 D Q M U X 1 D Q M U X 1 D Q X0 X1 X2 Up/Down Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 27

Up / Down LFSR Pattern Sequences Up Counting 000 100 110 111 011 101 010 001 Down Counting Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 27

Mutual Comparator Test 4 or more memory arrays at same time: Apply same test commands & addresses to all 4 arrays at same time Assess errors when one of the di (responses) disagrees with the others Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 27

Mutual Comparator System Memory BIST with mutual comparator Benefit: Need not have good machine response stored or generated Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 27

Parallel Memory BIST Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 27

Parallel Memory March C Add MUX to inputs of write drivers: Selects normal data input or left neighbor sense amplifier output Creates shift register during self-test Generalize any March test to test n-bit words in array rows (x)n means repeat x operations n times Example: March Cn { (w0)n (r0, w0)n; (r0, w1)n (r1, w1)n; (r1, w0)n (r0, w0)n; (r0, w1)n (r1, w1)n; (r1, w0)n (r0, w0)n; (r0, w0)n (r0, w0)n} Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 27

MATS+ RAM BIST For single-bit word – can generalize to n-bit words Need Address MUX – switch row decoder from normal input to address stepper (which is the Up/Down LFSR) # states needed: 2 x # March elements + 3 Three extra states: Start Error Correct Chip area overhead: 1 to 2 % -- widely used Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 27

State Transition Diagram For MATS+ Memory BIST Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 27

SRAM BIST with MISR Use MISR to compress memory outputs Control aliasing by repeating test: With different MISR feedback polynomial With RAM test patterns in reverse order March test: { (w Address); (r Address); (w Address); (r Address); (r Address); (w Address); (r Address); (r Address) } Not proven to detect coupling or address decoder faults Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 27

BIST System with MISR Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 27

Neighborhood Pattern Sensitive Fault DRAM BIST Two tests: MATS+ (to catch address decoder faults) Static NPSF – Type-1 Neighborhood, 2-Group Method, Operation count: 58 n Chip area overhead: 0.09 %, 1 Mb DRAM Static NPSF fault model: Static Weight-Sensitive Fault (WSF) Changes base cell contents, depending on number of 1’s in deleted neighborhood Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 27

Weight Sensitive Faults k neighborhood size t-WSF – occurs when deleted neighborhood pattern has: t cells at “1” k – t –1 cells at “0” Positive WSF – Base cell can only change 0 1 due to fault Negative WSF – vice versa Test detecting all positive and negative static t-WSFs (0 t 4) detects all Static NPSFs Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 27

WSF NPSF Test t = 0 Case deleted Step 0: {Assume all cells are initialized to 0}; Step 1: {Deleted neighborhood p2} write 1 to all cells-A and all cells-B of group-1; read all base cells ‘b’ of group-1; write 0 to all cells-B of group-1; Step2: {Deleted neighborhood p3} write 1 to all cells-D of group-1; read all base cells ‘B’ of group-1; write 0 to all cells-A of group-1; Step 3: {Deleted neighborhood p5} write 1 to all cells-C of group-1; write 0 to all cells-C of group-1; t = 0 Case deleted Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 27

WSF NPSF Test (concluded) Step 4: {Deleted neighborhood p6} write 1 to all cells-B of group-1; read all base cells ‘b’ of group-1; write 0 to all cells-D of group-1; Step 5: {Deleted neighborhood p4} write 1 to all cells-C of group-1; write 0 to all cells-B of group-1; Step 6: {Deleted neighborhood p1} write 1 to all cells-A of group-1; write 0 to all cells-A and all cells-C of group-2; Steps 7-12: Repeat Steps 1-6 for group-2; Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 27

WSF Response Compaction Three count functions: ri -- result of ith read operation c -- # times a read was done C1 (R) = S ri -- Counts 1’s C2 (R) = S ri ri +1 -- 0 1 transition count C3 (R) = S ri ri +1 -- Counts 0 1 and 1 0 transitions c i = 1 c - 1 i = 1 c - 1 i = 1 Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 27

Count Function Values Entry # 1 (good) 2 (bad) 3 (bad) 4 (bad) Response String 0011 1100 1010 0101 Count Function C2 (R) 1 2 C1 (R) C3 (R) 3 Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 27

NPSF BIST Implementation No memory cell array changes Overheads: Only address counter size grows with increasing memory size RAM Size 64 kb 6 kb 256 kb 1 Mb Chip Area 1.85 % 1.21 % 0.32 % 0.09 % Control Implementation ROM micro code Custom logic Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 27

Transparent Testing Basic rule to preserve memory contents: Complement stored data in memory an even # of times To make any memory test transparent: Assume that cell c contains bit v Add initial memory read of v to algorithm Replace any write x of cell c with write (x v) operation If last write on c returns v, add extra read and write operations to complement cell contents Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 27

Transparent BIST Controller To get signature: Run test without any writes – calculate signature Rerun test with read and write operations Compare actual signature with 1st pass signature Must generate both: Signature predicting response Actual test sequence MARCH C: Transparent BIST area overhead – 1.2 % Ordinary memory BIST area overhead – 1.0 % Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 27

Lucent Technologies Integrated Services Data Network (ISDN) Switch Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 27

Lucent Technologies ISDN Phone Switch Hardware PCM Pulse Code Modulation Uses loop back of intermediate ports in switch for testing BIST increased system logic gates by 4 % BIST circuit pack area overhead: 1 % Slight yield decrease Obtained 60% stuck-fault coverage with BIST Big improvement over fault coverage obtained with external ATE Diagnostics were easier to write with BIST and ran 8 times faster Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 27

Lucent Technologies Example Control RAM Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 27

Circular BIST Usage Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 27

Success of Circular BIST at Lucent Achieved 98 % fault coverage on tests for these memory faults: SAF Transition NPSF 98 % stuck-at fault coverage for random logic Advantage: Can test mixture of random logic and memory Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 27

Delay-Fault Testing Hazard Problems Delay distributed along dotted path – wires and logic gates Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 27

Delay Fault Test Generators Test Invalidation Problem: Delays in off-path wires (not being tested) confuse the testing process and cause the process to conclude that the path-under-test is good, when in fact it has a severe delay fault Occurs because the hazard is sampled, rather than the final transition on the path Single input changing (SIC) pattern generator reduces invalidation -- two known methods: Use Gray Code pattern generator Use Johnson counter (alternate mode is LFSR) Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 27

Delay-Fault BIST Pattern Generation Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 27

Summary BIST is gaining acceptance for testability insertion due to: Reduced chip area overhead (only 1-3 % chip area for memory BIST) Allows partitioning of testing problem Memory BIST – widely used, < 1 % overhead Random logic BIST, 13 to 20 % area overheads Experimental method has only 6.5 % overhead Used by IBM and Lucent Technologies in selected products Delay fault BIST – experimental stage Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 27