Interconnessioni e parassiti1 Progettazione di circuiti e sistemi VLSI Anno Accademico 2010-2011 Lezione 9 29.4.2011 Interconnessioni e parassiti.

Slides:



Advertisements
Similar presentations
Topics Electrical properties of static combinational gates:
Advertisements

   >>> 
EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Introduction to CMOS VLSI Design Lecture 19: Design for Skew David Harris Harvey Mudd College Spring 2004.
Introduction to CMOS VLSI Design Clock Skew-tolerant circuits.
Lecture 21: Packaging, Power, & Clock
CSE477 L19 Timing Issues; Datapaths.1Irwin&Vijay, PSU, 2002 CSE477 VLSI Digital Circuits Fall 2002 Lecture 19: Timing Issues; Introduction to Datapath.
Clock Design Adopted from David Harris of Harvey Mudd College.
Lecture 21: Packaging, Power, & Clock
Digital Integrated Circuits© Prentice Hall 1995 Interconnect COPING WITH INTERCONNECT.
EE466: VLSI Design Lecture 11: Wires
EE 447 VLSI Design Lecture 5: Wires. EE 447VLSI Design 6: Wires2 Outline Introduction Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering.
Introduction to CMOS VLSI Design Lecture 20: Package, Power, and I/O
Digital Integrated Circuits A Design Perspective
04/11/02EECS 3121 Lecture 26: Interconnect Modeling, continued EECS 312 Reading: 8.2.2, (text) HW 8 is due now!
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 15: Interconnects & Wire Engineering Prof. Sherief Reda Division of Engineering,
© Digital Integrated Circuits 2nd Inverter CMOS Inverter: Digital Workhorse  Best Figures of Merit in CMOS Family  Noise Immunity  Performance  Power/Buffer.
04/09/02EECS 3121 Lecture 25: Interconnect Modeling EECS 312 Reading: 8.3 (text), 4.3.2, (2 nd edition)
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 22: Material Review Prof. Sherief Reda Division of Engineering, Brown University.
Introduction to CMOS VLSI Design Interconnect: wire.
Lecture 24: Interconnect parasitics
Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 9.1 EE4800 CMOS Digital IC Design & Analysis Lecture 9 Interconnect Zhuo Feng.
VLSI Digital System Design
04/02/02EECS 3121 Lecture 23: DRAM + Driving large capacitances EECS 312 Reading: , 8.2.3, 8.5 (text)
Putting it all together— Chip Level Issues
Modern VLSI Design 4e: Chapter 7 Copyright  2008 Wayne Wolf Topics Global interconnect. Power/ground routing. Clock routing. Floorplanning tips. Off-chip.
Research on Analysis and Physical Synthesis Chung-Kuan Cheng CSE Department UC San Diego
EE415 VLSI Design DYNAMIC LOGIC [Adapted from Rabaey’s Digital Integrated Circuits, ©2002, J. Rabaey et al.]
© Digital Integrated Circuits 2nd Interconnect Impact of Interconnect Parasitics Reduce Robustness Affect Performance Increase delay Increase power dissipation.
CAD for Physical Design of VLSI Circuits
Digital Integrated Circuits© Prentice Hall 1995 Interconnect COPING WITH INTERCONNECT.
Modern VLSI Design 2e: Chapter 3 Copyright  1998 Prentice Hall PTR Topics n Electrical properties of static combinational gates: –transfer characteristics;
EE141 © Digital Integrated Circuits 2nd Wires 1 Digital Integrated Circuits A Design Perspective The Interconnect Jan M. Rabaey Anantha Chandrakasan Borivoje.
EE415 VLSI Design 1 The Wire [Adapted from Rabaey’s Digital Integrated Circuits, ©2002, J. Rabaey et al.]
Introduction to CMOS VLSI Design Lecture 25: Package, Power, Clock, and I/O David Harris Harvey Mudd College Spring 2007.
ELEN654 ATMATM l Signal Integrity Issues » Capacitive Coupling, Resistance, Inductance » Cross talk l Routability design, Coding, and other Design Measures.
© Digital Integrated Circuits 2nd Interconnect Digital Integrated Circuits A Design Perspective Coping with Interconnect Jan M. Rabaey Anantha Chandrakasan.
© Digital Integrated Circuits 2nd Interconnect ECE 558/658 : Lecture 20 Interconnect Design (Chapter 9) Clock distribution (Chapter ) Atul Maheshwari.
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 30: November 19, 2010 Crosstalk.
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 33: November 20, 2013 Crosstalk.
Session 5: Projects 1. Physical Limits of Technology Scaling : 2 SCALING AND EFFICIENCY.
Inverter Chapter 5 The Inverter April 10, Inverter Objective of This Chapter  Use Inverter to know basic CMOS Circuits Operations  Watch for performance.
INTERCONNECT MODELING M.Arvind 2nd M.E Microelectronics
1 Interconnect/Via. 2 Delay of Devices and Interconnect.
Lecture 14: Wires. CMOS VLSI DesignCMOS VLSI Design 4th Ed. 14: Wires2 Outline  Introduction  Interconnect Modeling –Wire Resistance –Wire Capacitance.
EE141 © Digital Integrated Circuits 2nd Inverter 1 Digital Integrated Circuits A Design Perspective The Inverter Jan M. Rabaey Anantha Chandrakasan Borivoje.
Modern VLSI Design 4e: Chapter 3 Copyright  2008 Wayne Wolf Topics n Wire delay. n Buffer insertion. n Crosstalk. n Inductive interconnect. n Switch logic.
Modern VLSI Design 3e: Chapter 7 Copyright  1998, 2002 Prentice Hall PTR Topics n Power/ground routing. n Clock routing. n Floorplanning tips. n Off-chip.
VLSI CIRCUIT ELEMENTS - Prof. Rakesh K. Jha
11-1 Integrated Microsystems Lab. EE372 VLSI SYSTEM DESIGNE. Yoon Latch-up & Power Consumption Latch-up Problem Latch-up condition  1   2 >1 GND Vdd.
FPGA-Based System Design: Chapter 2 Copyright  2004 Prentice Hall PTR Topics n Logic gate delay. n Logic gate power consumption. n Driving large loads.
Interconnect/Via.
Chapter 4: Secs ; Chapter 5: pp
Modern VLSI Design 3e: Chapter 3 Copyright  1998, 2002 Prentice Hall PTR Topics n Wire delay. n Buffer insertion. n Crosstalk. n Inductive interconnect.
Modern VLSI Design 3e: Chapter 3 Copyright  1998, 2002 Prentice Hall PTR Topics n Electrical properties of static combinational gates: –transfer characteristics;
Dynamic Logic.
EE141 Combinational Circuits 1 Chapter 6 (I) Designing Combinational Logic Circuits Dynamic CMOS LogicDynamic CMOS Logic V1.0 5/4/2003.
Tao Tang, Craig Burkhart
CSE477 L27 System Interconnect.1Irwin&Vijay, PSU, 2003 CSE477 VLSI Digital Circuits Fall 2003 Lecture 27: System Level Interconnect Mary Jane Irwin (
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 30: November 21, 2012 Crosstalk.
MICROPROCESSOR DESIGN1 IR/Inductive Drop Introduction One component of every chip is the network of wires used to distribute power from the input power.
High Speed Properties of Digital Gates, Copyright F. Canavero, R. Fantino Licensed to HDT - High Design Technology
Power Distribution Copyright F. Canavero, R. Fantino Licensed to HDT - High Design Technology.
Assignment - 3 Design a ALU with functions mentioned below
Crosstalk If both a wire and its neighbor are switching at the same time, the direction of the switching affects the amount of charge to be delivered and.
Mary Jane Irwin ( ) CSE477 VLSI Digital Circuits Fall 2002 Lecture 27: System Level Interconnect Mary Jane.
Day 33: November 19, 2014 Crosstalk
Digital Integrated Circuits A Design Perspective
332:578 Deep Submicron VLSI Design Lecture 14 Design for Clock Skew
COPING WITH INTERCONNECT
Presentation transcript:

Interconnessioni e parassiti1 Progettazione di circuiti e sistemi VLSI Anno Accademico Lezione Interconnessioni e parassiti

2 Impact of Interconnect Parasitics Reduce Robustness Affect Performance Increase delay Increase power dissipation Classes of Parasitics Capacitive Resistive Inductive

Interconnessioni e parassiti3 INTERCONNECT

Interconnessioni e parassiti4 Capacitive Cross Talk

Interconnessioni e parassiti5

6

7 Dealing with Capacitive Cross Talk Avoid floating nodes Protect sensitive nodes Make rise and fall times as large as possible Differential signaling Do not run wires together for a long distance Use shielding wires Use shielding layers

Interconnessioni e parassiti8 Shielding GND Shielding wire Substrate (GND) Shielding layer V DD

Interconnessioni e parassiti9 Cross Talk and Performance CcCc - When neighboring lines switch in opposite direction of victim line, delay increases DELAY DEPENDENT UPON ACTIVITY IN NEIGHBORING WIRES Miller Effect - Both terminals of capacitor are switched in opposite directions (0  V dd, V dd  0) - Effective voltage is doubled and additional charge is needed (from Q=CV)

Interconnessioni e parassiti10 Structured Predictable Interconnect Example: Dense Wire Fabric ([Sunil Kathri]) Trade-off: Cross-coupling capacitance 40x lower, 2% delay variation Increase in area and overall capacitance Also: FPGAs, VPGAs

Interconnessioni e parassiti11 Encoding Data Avoids Worst-Case Conditions Encoder Decoder Bus In Out

Interconnessioni e parassiti12 Driving Large Capacitances V in V out C L V DD Transistor Sizing Cascaded Buffers

Interconnessioni e parassiti13 Using Cascaded Buffers C L = 20 pF InOut 12N 0.25  m process Cin = 2.5 fF tp 0 = 30 ps F = CL/Cin = 8000 fopt = 3.6 N = 7 tp = 0.76 ns (See Chapter 5)

Interconnessioni e parassiti14 Optimal number of stages (lez. 5/35 ref.) For a given load, C L and given input capacitance C in Find optimal sizing f For  = 0, f = e, N = lnF

Interconnessioni e parassiti15 Output Driver Design Trade off Performance for Area and Energy Given t pmax find N and f Area Energy

Interconnessioni e parassiti16 Output Driver Design (2) The optimal values of N and f, for minimal t p, can give too much area and transistors. Increasing t pmax, the problem consists in the solution with minimal area and optimal energy dissipation (see text paragraph 9.2.2)

Interconnessioni e parassiti17

Interconnessioni e parassiti18

Interconnessioni e parassiti19 Bonding Pad Design Bonding Pad Out In V DD GND 100  m GND Out

Interconnessioni e parassiti20 ESD Protection When a chip is connected to a board, there is unknown (potentially large) static voltage difference Equalizing potentials requires (large) charge flow through the pads Diodes sink this charge into the substrate – need guard rings to pick it up.

Interconnessioni e parassiti21 ESD Protection Diode

Interconnessioni e parassiti22 Chip Packaging Bond wires (~25  m) are used to connect the package to the chip Pads are arranged in a frame around the chip Pads are relatively large (~100  m in 0.25  m technology), with large pitch (100  m) Many chips areas are ‘pad limited’

Interconnessioni e parassiti23 Chip Packaging An alternative is ‘flip-chip’: –Pads are distributed around the chip –The soldering balls are placed on pads –The chip is ‘flipped’ onto the package –Can have many more pads

Interconnessioni e parassiti24 Tristate Buffers In En V DD Out Out = In.En + Z.En V DD In En Out Increased output drive

Interconnessioni e parassiti25 Reducing the swing  Reducing the swing potentially yields linear reduction in delay  Also results in reduction in power dissipation  Delay penalty is paid by the receiver  Requires use of “sense amplifier” to restore signal level  Frequently designed differentially (e.g. LVDS)

Interconnessioni e parassiti26 INTERCONNECT

Interconnessioni e parassiti27 Impact of Resistance We have already learned how to drive RC interconnect Impact of resistance is commonly seen in power supply distribution: –IR drop –Voltage variations Power supply is distributed to minimize the IR drop and the change in current due to switching of gates

Interconnessioni e parassiti28 IR Introduced Noise M 1 X I R’ R ΔVΔV Ф pre ΔVΔV V DD V - ΔVΔV V I

Interconnessioni e parassiti29

Interconnessioni e parassiti30 Resistance and the Power Distribution Problem Requires fast and accurate peak current prediction Requires fast and accurate peak current prediction Heavily influenced by packaging technology Heavily influenced by packaging technology Before After

Interconnessioni e parassiti31 Power Distribution Low-level distribution is in Metal 1 Power has to be ‘strapped’ in higher layers of metal. The spacing is set by IR drop, electromigration, inductive effects Always use multiple contacts on straps

Interconnessioni e parassiti32 3 Metal Layer Approach (EV4) 3rd “coarse and thick” metal layer added to the technology for EV4 design Power supplied from two sides of the die via 3rd metal layer 2nd metal layer used to form power grid 90% of 3rd metal layer used for power/clock routing Metal 3 Metal 2 Metal 1

Interconnessioni e parassiti33 2 reference plane metal layers added to the technology for EV6 design Solid planes dedicated to Vdd/Vss Significantly lowers resistance of grid Lowers on-chip inductance 6 Metal Layer Approach – EV6 Metal 4 Metal 2 Metal 1 RP2/Vdd RP1/Vss Metal 3

Interconnessioni e parassiti34 Electromigration

Interconnessioni e parassiti35 The Global Wire Problem Challenges No further improvements to be expected after the introduction of Copper (superconducting, optical?) Design solutions –Use of fat wires –Insert repeaters — but might become prohibitive (power, area) –Efficient chip floorplanning Towards “communication-based” design –How to deal with latency? –Is synchronicity an absolute necessity?  outwwd dwwd CRCRCRCRT 

Interconnessioni e parassiti36 Interconnect Projections: Copper Copper is planned in full sub-0.25  m process flows and large-scale designs (IBM, Motorola, IEDM97) With cladding and other effects, Cu ~ 2.2  -cm vs. 3.5 for Al(Cu)  40% reduction in resistance Electromigration improvement; 100X longer lifetime (IBM, IEDM97) –Electromigration is a limiting factor beyond 0.18  m if Al is used (HP, IEDM95) Vias

Interconnessioni e parassiti37 Diagonal Wiring y x destination Manhattan source diagonal 20+% Interconnect length reduction Clock speed Signal integrity Power integrity 15+% Smaller chips plus 30+% via reduction

Interconnessioni e parassiti38 Reducing RC-delay Repeater (chapter 5)

Interconnessioni e parassiti39 Repeater Insertion (Revisited) Taking the repeater loading into account For a given technology and a given interconnect layer, there exists an optimal length of the wire segments between repeaters. The delay of these wire segments is independent of the routing layer!