Detailed Placement for Improved Depth of Focus and CD Control

Slides:



Advertisements
Similar presentations
Hierarchical Dummy Fill for Process Uniformity Supported by Cadence Design Systems, Inc. NSF, and the Packard Foundation Y. Chen, A. B. Kahng, G. Robins,
Advertisements

New Graph Bipartizations for Double-Exposure, Bright Field Alternating Phase-Shift Mask Layout Andrew B. Kahng (UCSD) Shailesh Vaya (UCLA) Alex Zelikovsky.
OCV-Aware Top-Level Clock Tree Optimization
Chris A. Mack, Fundamental Principles of Optical Lithography, (c) 2007
Chris A. Mack, Fundamental Principles of Optical Lithography, (c) 2007
Tutorial on Subwavelength Lithography DAC 99
Impact of RET on Physical Design ISPD 2001 April 2, 2001 F.M. Schellenberg, Ph.D. Calibre RET Group Luigi Capodieci, Ph.D. ASML MaskTools.
Minimum Implant Area-Aware Gate Sizing and Placement
Ahmed Awad Atsushi Takahash Satoshi Tanakay Chikaaki Kodamay ICCAD’14
1 A Lithography-friendly Structured ASIC Design Approach By: Salman Goplani* Rajesh Garg # Sunil P Khatri # Mosong Cheng # * National Instruments, Austin,
TPL-aware displacement-driven detailed placement refinement with coloring constraints Tao Lin and Chris Chu Iowa State University 1.
Dual Graph-Based Hot Spot Detection Andrew B. Kahng 1 Chul-Hong Park 2 Xu Xu 1 (1) Blaze DFM, Inc. (2) ECE, University of California at San Diego.
Puneet Sharma and Puneet Gupta Prof. Andrew B. Kahng Prof. Dennis Sylvester System-Level Living Roadmap Annual Review, Sept Basic Ideas Gate-length.
Detailed Placement for Improved Depth of Focus and CD Control Puneet Gupta 1 Andrew B. Kahng 1,2 Chul-Hong Park 2 1 Blaze DFM,
Power-Aware Placement
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
Reticle Floorplanning With Guaranteed Yield for Multi-Project Wafers Andrew B. Kahng ECE and CSE Dept. University of California San Diego Sherief Reda.
Design Sensitivities to Variability: Extrapolations and Assessments in Nanometer VLSI Y. Kevin Cao *, Puneet Gupta +, Andrew Kahng +, Dennis Sylvester.
Lens Aberration Aware Timing-Driven Placement Andrew B. Kahng †‡* Chul-Hong Park ‡ Puneet Sharma ‡ Qinke Wang † CSE † and ECE ‡ Departments, UC San Diego.
Architectural-Level Prediction of Interconnect Wirelength and Fanout Kwangok Jeong, Andrew B. Kahng and Kambiz Samadi UCSD VLSI CAD Laboratory
Enhanced Resist and Etch CD Control by Design Perturbation Abstract Etch dummy features are used to reduce CD skew between resist and etch processes and.
Study of Floating Fill Impact on Interconnect Capacitance Andrew B. Kahng Kambiz Samadi Puneet Sharma CSE and ECE Departments University of California,
Predictive Modeling of Lithography-Induced Linewidth Variation Swamy V. Muddu University of California San Diego Photomask Japan 2008 (Presented by Kwangok.
On Legalization of Row-Based Placements Andrew B. KahngSherief Reda CSE & ECE Departments University of CA, San Diego La Jolla, CA 92093
Practical Iterated Fill Synthesis for CMP Uniformity Supported by Cadence Design Systems, Inc. Y. Chen, A. B. Kahng, G. Robins, A. Zelikovsky (UCLA, UVA.
Fast and Area-Efficient Phase Conflict Detection and Correction in Standard-Cell Layouts Charles Chiang, Synopsys Andrew B. Kahng, UC San Diego Subarna.
UC San Diego Computer Engineering. VLSI CAD Laboratory.. UC San Diego Computer EngineeringVLSI CAD Laboratory.. UC San Diego Computer EngineeringVLSI CAD.
UCSD VLSI CAD Laboratory BACUS-2008 Revisiting the Layout Decomposition Problem for Double Patterning Lithography Andrew B. Kahng, Chul-Hong Park, Xu Xu,
DPIMM-03 1 Performance-Impact Limited Area Fill Synthesis Yu Chen, Puneet Gupta, Andrew B. Kahng (UCLA, UCSD) Supported by Cadence.
On-Line Adjustable Buffering for Runtime Power Reduction Andrew B. Kahng Ψ Sherief Reda † Puneet Sharma Ψ Ψ University of California, San Diego † Brown.
1 UCSD VLSI CAD Laboratory ISQED-2009 Revisiting the Linear Programming Framework for Leakage Power vs. Performance Optimization Kwangok Jeong, Andrew.
Toward Performance-Driven Reduction of the Cost of RET-Based Lithography Control Dennis Sylvester Jie Yang (Univ. of Michigan,
Design Bright-Field AAPSM Conflict Detection and Correction C. Chiang, Synopsys A. Kahng, UC San Diego S. Sinha, Synopsys X. Xu, UC San Diego A. Zelikovsky,
A Cost-Driven Lithographic Correction Methodology Based on Off-the-Shelf Sizing Tools.
Toward a Methodology for Manufacturability-Driven Design Rule Exploration Luigi Capodieci, Puneet Gupta, Andrew B. Kahng, Dennis Sylvester, and Jie Yang.
Detailed Placement for Leakage Reduction Using Systematic Through-Pitch Variation Andrew B. Kahng †‡ Swamy Muddu ‡ Puneet Sharma ‡ CSE † and ECE ‡ Departments,
Defocus-Aware Leakage Estimation and Control Andrew B. Kahng †‡ Swamy Muddu ‡ Puneet Sharma ‡ CSE † and ECE ‡ Departments, UC San Diego.
Topography-Aware OPC for Better DOF margin and CD control Puneet Gupta*, Andrew B. Kahng*†‡, Chul-Hong Park†, Kambiz Samadi†, and Xu Xu‡ * Blaze-DFM Inc.
Closing the Loop in Interconnect Analyses and Optimization: CMP Fill, Lithography and Timing Puneet Gupta 1 Andrew B. Kahng 1,2,3 O.S. Nakagawa 1 Kambiz.
Design of Integrated-Circuit Interconnects with Accurate Modeling of Chemical-Mechanical Planarization Lei He, Andrew B. Kahng* #, Kingho Tam, Jinjun Xiong.
UC San Diego Computer Engineering. VLSI CAD Laboratory.. UC San Diego Computer EngineeringVLSI CAD Laboratory.. UC San Diego Computer EngineeringVLSI CAD.
Selective Gate-Length Biasing for Cost-Effective Runtime Leakage Control Puneet Gupta 1 Andrew B. Kahng 1 Puneet Sharma 1 Dennis Sylvester 2 1 ECE Department,
Hierarchical Dummy Fill for Process Uniformity Supported by Cadence Design Systems, Inc. Y. Chen, A. B. Kahng, G. Robins, A. Zelikovsky (UCLA, UCSD, UVA.
7/14/ Design for Manufacturability Prof. Shiyan Hu Office: EERC 731.
Timing Analysis and Optimization Implications of Bimodal CD Distribution in Double Patterning Lithography Kwangok Jeong and Andrew B. Kahng VLSI CAD LABORATORY.
1 A Novel Metric for Interconnect Architecture Performance Parthasarathi Dasgupta, Andrew B. Kahng, Swamy V. Muddu Dept. of CSE and ECE University of California,
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
Dose Map and Placement Co-Optimization for Timing Yield Enhancement and Leakage Power Reduction Kwangok Jeong, Andrew B. Kahng, Chul-Hong Park, Hailong.
L. Karklin, S. Mazor, D.Joshi1, A. Balasinski2, and V. Axelrad3
Hongbo Zhang, Yuelin Du, Martin D.F. Wong, Yunfei Deng, Pawitter Mangat Synopsys Inc., USA Dept. of ECE, Univ. of Illinois at Urbana-Champaign GlobalFoundries.
A New Methodology for Reduced Cost of Resilience Andrew B. Kahng, Seokhyeong Kang and Jiajia Li UC San Diego VLSI CAD Laboratory.
Seongbo Shim, Yoojong Lee, and Youngsoo Shin Lithographic Defect Aware Placement Using Compact Standard Cells Without Inter-Cell Margin.
Kwangsoo Han, Andrew B. Kahng, Hyein Lee and Lutong Wang
Kwangsoo Han‡, Andrew B. Kahng‡† and Hyein Lee‡
A Cost-Driven Lithographic Correction Methodology Based on Off-the-Shelf Sizing Tools A Cost-Driven Lithographic Correction Methodology Based on Off-the-Shelf.
Tao Lin Chris Chu TPL-Aware Displacement- driven Detailed Placement Refinement with Coloring Constraints ISPD ‘15.
Pattern Sensitive Placement For Manufacturability Shiyan Hu, Jiang Hu Department of Electrical and Computer Engineering Texas A&M University College Station,
Pattern Sensitive Placement For Manufacturability Shiyan Hu, Jiang Hu Department of Electrical and Computer Engineering Texas A&M University College Station,
NUMERICAL TECHNOLOGIES, INC. Assessing Technology tradeoffs for 65nm logic circuits D Pramanik, M Cote, K Beaudette Numerical Technologies Inc Valery Axelrad.
AoE Project Nano-Process Modeling: Lithography modeling and device fabrication Philip Chan, Mansun Chan Department of ECE, HKUST Edmund Lam Department.
Benchmarking of Mask Fracturing Heuristics Tuck Boon Chan, Puneet Gupta, Kwangsoo Han, Abde Ali Kagalwalla, Andrew B. Kahng and Emile Sahouria.
Mixed Cell-Height Implementation for Improved Design Quality in Advanced Nodes Sorin Dobre +, Andrew B. Kahng * and Jiajia Li * * UC San Diego VLSI CAD.
-1- Delay Uncertainty and Signal Criticality Driven Routing Channel Optimization for Advanced DRAM Products Samyoung Bang #, Kwangsoo Han ‡, Andrew B.
NanoCAD Lab UCLA Effective Model-Based Mask Fracturing Heuristic Abde Ali Kagalwalla and Puneet Gupta NanoCAD Lab Department of Electrical Engineering,
Xiaoqing Xu1, Tetsuaki Matsunawa2
1 Double-Patterning Aware DSA Template Guided Cut Redistribution for Advanced 1-D Gridded Designs Zhi-Wen Lin and Yao-Wen Chang National Taiwan University.
Date of download: 6/25/2016 Copyright © 2016 SPIE. All rights reserved. Transmission loss compensation by reducing absorber CD. Figure Legend: From: Throughput.
Date of download: 7/7/2016 Copyright © 2016 SPIE. All rights reserved. Illumination geometry for vertical and horizontal lines, respectively. The illumination.
Technology Migration Technique for Designs with Strong RET-driven Layout Restrictions Xin Yuan, Kevin McCullen, Fook-Luen Heng, Robert Walker, Jason Hibbeler,
Lithography Advanced.
Presentation transcript:

Detailed Placement for Improved Depth of Focus and CD Control Puneet Gupta1 (puneet@blaze-dfm.com) Andrew B. Kahng1,2 Chul-Hong Park2 1 Blaze DFM, Inc. 2 ECE Department, University of California, San Diego

Outline OPC and SRAF: An Introduction The AFCorr Methodology AFCorr Placement Perturbation Experiments and Results Summary

OPC (Optical Proximity Correction) Before OPC After OPC C.-H. Park et al., SPIE 2000 Gate CD control is extremely difficult to achieve Min feature size outpaces introduction of new hardware solutions OPC = one of available reticle enhancement techniques (RET) to improve pattern resolution Proactive distortion of photomask shape  compensate CD inaccuracies

SRAF (Sub-Resolution AF) Process Margin (180nm) Layout (or Mask ) Design SB=0 0.04 0.06 0.08 0.1 0.12 0.14 0.16 0.18 0.2 0.22 0.0 0.3 0.4 0.5 0.6 SB2 SB1 SB0 DOF CD Active SB=1 SB=2 Wafer structure (SEM) #SB = 0 #SB=1 #SB=2 CD (nm) 160 177 182 SRAF = Scattering Bar (SB) SRAFs enhance process window (focus, exposure dose) Extremely narrow lines  do not print on water More SBs helps to enhance DOF margin and to meet the target CD

SRAFs and Bossung Plots Bias OPC SRAF OPC Bossung plot Measurement to evaluate lithographic manufacturability Maximize the common process window Horizontal axis: Depth of Focus (DOF); Vertical axis: CD SRAF OPC Improves process margin of isolated pattern Larger overlap of process window between dense and isolated lines

Outline OPC and SRAF: An Introduction The AFCorr Methodology AFCorr Placement Perturbation Experiments and Results Summary

Forbidden Pitches #SB=1 #SB=2 #SB=3 #SB=4 Allowable Forbidden Forbidden pitch lowers printability, DOF margin and exposure margin Typically based on tolerance of +/- 10% of CD  Must avoid forbidden pitches in layout

Layout Composability for SRAFs Better than x+dx  x  Small set of allowed feature spacings Two components of SRAF-aware methodology Assist-correct libraries Library cell layout should avoid all forbidden pitches Intelligent library design Assist-correct placement  THIS WORK Intelligent whitespace adjustment in the placer

Outline OPC and SRAF: An Introduction The AFCorr Methodology AFCorr Placement Perturbation Experiments and Results Summary

AFCorr: SRAF-Correct Placement Before AFCorr After AFCorr Forbidden pitch Cell boundary By adjusting whitespace, additional SRAFs can be inserted between cells Resist image improves after assist-aware placement adjustment Problem: Perturb given placement minimally to achieve as much SRAF insertion as possible

Minimum Perturbation Approach Objective: Reduce forbidden pitch violation Reduce weighted CD degradation with defocus Minimum perturbation: preserve timing Constraint: Placement site width must be respected How: One standard cell row at a time Solve each cell row by dynamic programming

Feasible Placement Perturbations SaLP gate field Sa-1RP xa xa-1 Wa-1 Minimize  | di | s.t. da-1 + da + Sa-1RP + SaLP + (xa – xa-1 – wa-1)  AF wi and xi = width and location of Ci i = perturbation of location of cell Ci AF = set of allowed spacings RP, LP = boundary poly shapes with overlapping y-spans - Overlap types: g-g, g-f, f-f S = spacing from boundary poly to cell border

Vertical Forbidden Pitches Cell under consideration Row i Row i-1 Handled in a way similar to horizontal overlap Usually field poly Typically, #vertical forbidden pitches < #horiz. F.P. Due to restricted design rules like single orientation poly

Dynamic Programming Solution COST (1,b) = | x1-b| // subrow up through cell 1, location b COST (a,b) = l(a) |(xa -b)| + MIN{Xa-SRCH ≤ i ≤ Xa+SRCH} [COST(xa-1,i) + αHCost(a,b,a-1,i) + βVCost(a,b)] // SRCH = maximum allowed perturbation of cell location HCost = horizontal “forbidden-pitch cost” = sum over horiz- adjacencies of [slope(j) |HSpace –AFj| * overlap_weight] s.t. AFj+1 > HSpace  AFj VCost = vertical forbidden pitch cost l = perturbation weight α, β = weights for horizontal vs vertical forbidden pitches Slope = CD / Pitch = CD degradation per unit space between AF values AFi = closest assist-feasible spacing ≤ HSpace Overlap_weight = overlap length weighted by relative importance of printability for gate-to-gate, gate-to-field, and field-to-field

Outline OPC and SRAF: An Introduction The AFCorr Methodology AFCorr Placement Perturbation Experiments and Results Summary

Experimental Flow Benchmark design Forbidden pitch SB OPC SB Insertion Model-based OPC (Best DOF model) Lithography model generation (Best & Worst DOF) Benchmark design Placement Assist Corrected GDS Route Typical GDS Post-Placement OPCed GDSs Delay GDSII size OPC Run Time # Forbidden pitch # SB # EPE Experiments

Experimental Setup KLA-Tencor’s Prolith Mentor’s OPCpro, SBar SVRF Model generation for OPCpro Best focus/ worst (0.5 micron) defocus Calculating forbidden pitches Mentor’s OPCpro, SBar SVRF OPC, SRAF insertion, ORC (Optical Rule Check) Cadence SOC Encounter Placement & Route Synopsys Design Complier Synthesis

Experimental Metrics SB Count Forbidden Pitch Count EPE Count Total number of scattering bars or SRAFs inserted in the design Higher number of SRAFs indicates less through-focus variation and is hence desirable Forbidden Pitch Count Number of border poly geometries estimated as having greater than 10% CD error through-focus EPE Count Number of edge fragments on border poly geometries having greater than 10% edge placement error at the worst defocus level

Results: Increased SB Count SB count increases as utilization decreases due to increased whitespace #SB increases after AFCorr placement

Results: Reduced F/P and EPE Forbidden pitch count (border poly only) 81%~100% in 130nm, 93%~100% in 90nm EPE Count (border poly only) 74%~95% in 130nm, 83%~96% in 90nm

Impact on Other Design Metrics Utilization(%) 90 80 70 Flow: Orig AFCorr 130nm #EPE 4890 4721 5975 562 4276 15 R/T (s) 7821 7902 7876 7934 7913 7973 GDS (MB) 48.9 48.8 48.2 48.4 Delay (ns) 4.2 4.6 4.5 4.7 90nm 7523 1262 4813 532 2131 107 R/T(s) 6211 6327 6322 6431 6482 6499 GDS(MB) 43.1 43.3 43.2 Delay(s) 2.7 2.6 2.4 2.5 Impact : Data size < 1%, OPC run time < 2%, Cycle time < 4% Other impacts are negligible compared to large improvement in printability metrics

Outline OPC and SRAF: An Introduction Forbidden Pitch Extraction The AFCorr Methodology Experiments and Results Summary

Summary AFCorr is an effective approach to achieve assist feature compatibility in physical layout Up to 100% reduction of forbidden pitch and EPE Relatively negligible impacts on GDSII size, OPC runtime, and design clock cycle time Compared to huge improvement in printability Ongoing research Developing “correct-by-construction" standard-cell layouts which are always AFCorrect in any placement

Thank You!

Notation W = cell width; RP, LP = Boundary poly geometries S = Spacing from boundary poly to cell border O = Parallel adjacencies between poly features (g-f, g-g, f-f) Example: Sa-1RP2 + (xa-1 – xa – wa-1) + SaLP3 should be assist-correct