2/16/2007EECS150 Lab Lecture #51 Logic Analyzers EECS150 Spring 2007 – Lab Lecture #5 Shah Bawany.

Slides:



Advertisements
Similar presentations
Verilog Overview. University of Jordan Computer Engineering Department CPE 439: Computer Design Lab.
Advertisements

Combinational Logic.
Verilog Intro: Part 1.
Sumitha Ajith Saicharan Bandarupalli Mahesh Borgaonkar.
1 Brief Introduction to Verilog Weiping Shi. 2 What is Verilog? It is a hardware description language Originally designed to model and verify a design.
Electrical and Computer Engineering MIDI Note Number Display UGA Presentation and Demo ECE 353 Lab B.
ECE 551 Digital System Design & Synthesis Lecture 08 The Synthesis Process Constraints and Design Rules High-Level Synthesis Options.
EECS150 Lab Lecture #61 AC97 PCM Audio EECS150 Fall 2007– Lab Lecture #6 Udam Saini 10/05/2007.
ECE 551 Digital System Design & Synthesis Lecture 09 Synthesis of Common Verilog Constructs.
Spring 20067W. Rhett Davis with minor modifications by Dean Brock ECE 406 at UNASlide 1 ECE 406 Design of Complex Digital Systems Lecture 10: 9: State.
2/24/2006EECS150 Lab Lecture #61 N64 Controller (Project Checkpoint#1) EECS150 Spring2006 – Lab Lecture #6 Philip Godoy Guang Yang Greg Gibeling.
Useful Things to Know Norm. Administrative Midterm Grading Finished –Stats on course homepage –Pickup after this lab lec. –Regrade requests within 1wk.
10/1/2004EECS150 Lab Lecture #51 Good Design & Network Audio EECS150 Fall2004 – Lab Lecture #5 Udam Saini.
2/17/2006EECS150 Lab Lecture #51 Logic Analyzers EECS150 Spring 2006 – Lab Lecture #5 David Lin Greg Gibeling.
2/9/2007EECS150 Lab Lecture #41 Debugging EECS150 Spring2007 – Lab Lecture #4 Laura Pelton Greg Gibeling.
ELEN 468 Advanced Logic Design
From Design to Verilog EECS150 Fall Lecture #4
8/31/2007EECS150 Lab Lecture #11 EECS150 Intro. & CAD Tools EECS150 Fall 2007 Lab Lecture #1 Shah Bawany.
1 COMP541 State Machines – 2 Registers and Counters Montek Singh Feb 8, 2007.
02/10/06EECS150 Lab Lecture #41 Debugging EECS150 Spring 2006 – Lab Lecture #4 Philip Godoy Greg Gibeling.
1/19/2007EECS150 Lab Lecture #11 EECS150 Intro. & CAD Tools EECS150 Spring 2008 Lab Lecture #1 Ke Xu.
10/31/2008EECS150 Lab Lecture #10 The Waveform Generator EECS150 Fall Lab Lecture #10 Chris Fletcher Adopted from slides designed by Chris Fletcher.
Overview Logistics Last lecture Today HW5 due today
Sept EE24C Digital Electronics Project Design of a Digital Alarm Clock.
Guest Lecture by Ben Magstadt CprE 281: Digital Logic.
Guest Lecture by Ben Magstadt CprE 281: Digital Logic.
ASIC/FPGA design flow. FPGA Design Flow Detailed (RTL) Design Detailed (RTL) Design Ideas (Specifications) Design Ideas (Specifications) Device Programming.
Spring Introduction  Today’s tutorial focuses on introducing you to Xilinx ISE and Modelsim.  These tools are used for Verilog Coding Simulation.
Comments on Lab #4 Annotating Timing Diagrams Draw viewer’s attention to the points you are trying to show / verify –Important output states glitch or.
Advanced Digital Circuits ECET 146 Week 5 Professor Iskandar Hack ET 221G, Me as I typed this slides.
ECE 551 Digital System Design & Synthesis Fall 2011 Midterm Exam Overview.
Chapter 11: System Design Methodology Digital System Designs and Practices Using Verilog HDL and 2008, John Wiley11-1 Ders 8: FSM Gerçekleme ve.
C++ Programming Language Lecture 2 Problem Analysis and Solution Representation By Ghada Al-Mashaqbeh The Hashemite University Computer Engineering Department.
1 Workshop Topics - Outline Workshop 1 - Introduction Workshop 2 - module instantiation Workshop 3 - Lexical conventions Workshop 4 - Value Logic System.
Module 1.2 Introduction to Verilog
CascadedBCDCntr&Display Aim : Capture, simulate and implement a 2-digit, loadable BCD up/down counter, with chip enable I/P (CE) and chip enable O/P (CEO).
1 COMP541 Sequential Circuits Montek Singh Feb 1, 2012.
Anurag Dwivedi. Basic Block - Gates Gates -> Flip Flops.
The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL State Machines Anselmo Lastra.
Introduction to ASIC flow and Verilog HDL
Teaching Digital Logic courses with Altera Technology
2/3/2006EECS150 Lab Lecture #31 Implementation of FSMs EECS150 Spring 2006 – Lab Lecture #3 Guang Yang Greg Gibeling.
2/2/07EECS150 Lab Lecture #31 Verilog Synthesis & FSMs EECS150 Spring 2007 – Lab Lecture #3 Brent Mochizuki Greg Gibeling.
ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU 99-1 Under-Graduate Project Design of Datapath Controllers Speaker: Shao-Wei Feng Adviser:
CprE 281: Verilog Tutorial Ben Magstadt – Master’s Student Electrical Engineering.
1 University of Jordan Computer Engineering Department CPE 439: Computer Design Lab.
Lecture 5. Verilog HDL #3 Prof. Taeweon Suh Computer Science & Engineering Korea University COSE221, COMP211 Logic Design.
Overview Logistics Last lecture Today HW5 due today
Hardware Description Languages: Verilog
EKT124 Digital Electronics 1 Introduction to Digital Electronics
Figure 8.1. The general form of a sequential circuit.
Using Xilinx ChipScope Pro Tools
Supplement on Verilog Sequential circuit examples: FSM
Hardware Description Languages: Verilog
Logic Analyzers EECS150 Fall Lab Lecture #5 Arjun Singh
ChipScope Pro Software
332:437 Lecture 8 Verilog and Finite State Machines
EECS150 Fall 2007 – Lab Lecture #4 Shah Bawany
Founded in Silicon Valley in 1984
Debugging EECS150 Fall Lab Lecture #4 Sarah Swisher
Supplement on Verilog Sequential circuit examples: FSM
Dr. Tassadaq Hussain Introduction to Verilog – Part-3 Expressing Sequential Circuits and FSM.
Debugging EECS150 Fall Lab Lecture #4 Sarah Swisher
ChipScope Pro Software
The Verilog Hardware Description Language
The SDRAM Controller EECS150 Fall Lab Lecture #8 Chen Sun
Logic Analyzers EECS150 Fall Lab Lecture #5 Arjun Singh
Verilog Synthesis & FSMs
332:437 Lecture 8 Verilog and Finite State Machines
Instructor: Michael Greenbaum
Presentation transcript:

2/16/2007EECS150 Lab Lecture #51 Logic Analyzers EECS150 Spring 2007 – Lab Lecture #5 Shah Bawany

2/16/2007EECS150 Lab Lecture #52 Today Lab #3 Solution Synplify Warnings Debugging Hardware Administrative Info Logic Analyzer ChipScope ChipScope Demo – Not on webcast!

2/16/2007EECS150 Lab Lecture #53 Lab #3 Solution (1) Simple Solution Use the standard 2 (or 3) block FSM format 1. (posedge Clock) block that instantiates the register that contains state. 2. Combinational logic block that responds to inputs and state changes by updating nextState wire and outputs. 3. Optionally, block that updates outputs.

2/16/2007EECS150 Lab Lecture #54 Lab #3 Solution (2) Cleaning Up Your Verilog FSM Code (ps) begin case (ps) STATE_Init: begin Open = 1’b0; Prog1 = 1’b0; Prog2 = 1’b0; Error = 1’b0; if (Decode1 & Enter) ns = STATE_Ok1; else if (~Decode1 & Enter) ns = STATE_Bad1; end... STATE_Ok2: begin Open = 1’b1; Prog1 = 1’b0; Prog2 = 1’b0; Error = 1’b0;...

2/16/2007EECS150 Lab Lecture #55 Lab #3 Solution (3) (ps) begin Open = 1’b0; Prog1 = 1’b0; Prog2 = 1’b0; Error = 1’b0; case (ps) STATE_Init: begin if (Decode1 & Enter) ns = STATE_Ok1; else if (~Decode1 & Enter) ns = STATE_Bad1; end... STATE_Ok2: begin Open = 1’b1;...

2/16/2007EECS150 Lab Lecture #56 Lab #3 Solution (4) How about using assign statements for outputs? (ps) begin case (ps) STATE_Init: begin if (Decode1 & Enter) ns = STATE_Ok1; else if (~Decode1 & Enter) ns = STATE_Bad1; end... STATE_Ok2: begin... endcase end assign Open = (ps == STATE_Ok2); assign Error = (ps == STATE_Bad2);... We can exploit the fact that outputs are strictly state-dependent (Moore)

2/16/2007EECS150 Lab Lecture #57 Synplify Warnings (1) Why Bother? in the Synthesis Report (Errors are Part of your project grade Major warnings will cost points Knowing these will make your life easier Saves debugging Always run synthesis before simulating in ModelSim! Incomplete Sensitivity List ModelSim will use the sensitivity list Synplify pretty much ignores it

2/16/2007EECS150 Lab Lecture #58 input[15:0]A, B; output[31:0]Sum; outputCOut; // Adder (A) {COut, Sum} = A + B; Synplify Warnings (2) inputClock; reg[31:0]Count; // Counter (posedge Clock) Count <= Count + 1; OK! Incomplete Sensitivity input[15:0]A, B; output[31:0]Sum; outputCOut; // Adder (A or B) {COut, Sum} = A + B; OK!

2/16/2007EECS150 Lab Lecture #59 Synplify Warnings (3) Latch Generated input[1:0]select; inputA, B, C; outputOut; regOut; // Mux (select or A or B or C) begin case (select) 2’b00: Out = A; 2’b01: Out = B; 2’b10: Out = C; endcase end input[1:0]select; inputA, B, C; outputOut; regOut; // Mux (select or A or B or C) begin case (select) 2’b00: Out = A; 2’b01: Out = B; 2’b10: Out = C; default: Out = 1’bx; endcase end

2/16/2007EECS150 Lab Lecture #510 Synplify Warnings (4) Combinational Loop Must remove the loop or add a register Multiple assignments to wire/reg Nothing should be assigned to in more than one place! ∞??

2/16/2007EECS150 Lab Lecture #511 Synplify Warnings (5) FPGA_TOP2 always has warnings Un-driven Input Unconnected Output These are truly unneeded pins Things like the audio chips… Your modules should not have warnings

2/16/2007EECS150 Lab Lecture #512 Synplify Errors Your design violates timing constraints Right click on the Synthesize step Go to properties Uncheck Auto-constrain Set frequency to 27 (MHz) By default the software uses a 50% duty cycle and excessively restricts the delay of combinational logic. In the future you might still get errors, in which case you might need to pipeline or redesign logic.

2/16/2007EECS150 Lab Lecture #513 Debugging Hardware (1) Debugging Algorithm Hypothesis: What’s broken? Control: Give it controlled test inputs Expected Output: What SHOULD it do? Observe: Did it work right? If it broke: THAT’S GREAT! If we can’t break anything like this then the project must be working…

2/16/2007EECS150 Lab Lecture #514 Debugging Hardware (2) Using the logic analyzer / ChipScope The most reliable tool you have When used properly Use the triggers effectively Trigger on recurring sequences Trigger on errors An unstable display is useless Compare synthesis to simulation ChipScope is almost as good as simulation

2/16/2007EECS150 Lab Lecture #515 Debugging Hardware (3) Before you change anything Understand exactly what the problem is Find an efficient solution Evaluate alternative solutions After the change Fixes may make things worse sometimes May uncover a second bug May be an incorrect fix Repeat the debugging process

2/16/2007EECS150 Lab Lecture #516 Administrative Info Lab/Project Partners If you don’t have a partner, stay after lab lecture and we’ll help you get partnered up. Remote access to Xilinx tools Use Remote Desktop Connection to access kramnik.eecs.berkeley.edu. A link to the kramnik set-up guide is on the documents page. Also useful for transferring files to and from your U:\ drive.

2/16/2007EECS150 Lab Lecture #517 Lab #5: Logic Analysis (1) Exhaustive FSM Testing Very similar to Part3 of Lab #4 You’ll be mapping the whole FSM No bubble-and-arc to start from No single step Takes an input every cycle at 27MHz Much too fast to see on the LEDs Logic Analyzer!

2/16/2007EECS150 Lab Lecture #518 Lab #5: Logic Analysis (2) Logic Analyzer HP54645D Mixed Signal Oscilloscope Analog Oscilloscope Digital Logic Analyzer Graphs Signals vs. Time Like a timing diagram Invaluable for Debugging This is your only tool for examining your clocks Easy to see trends in signals…

2/16/2007EECS150 Lab Lecture #519 Lab #5: Logic Analysis (3)

2/16/2007EECS150 Lab Lecture #520 Lab #5: Logic Analysis (4) Procedure Set up the Logic Analyzer Synthesize the design Write a test pattern and set SW10 Press Single on the logic analyzer Press Reset to start the test Examine the waveforms Build a bubble-and-arc diagram

2/16/2007EECS150 Lab Lecture #521 The Logic Analyzer (1) Graphs Voltage vs Time Takes real signals from a CUT Can show both analog and digital signals Great for signal quality, delay, timing

2/16/2007EECS150 Lab Lecture #522 The Logic Analyzer (2) 16 Digital Inputs Excellent Debugging Tool Not very many input signals Digital Controls Trigger Controls

2/16/2007EECS150 Lab Lecture #523 ChipScope (1) Software based logic analyzer Get results on the computer Put a logic analyzer right into the FPGA ICON – Connects FPGA to software ILA – Does the actual analysis More flexible than the bench analyzers Can create busses Advanced triggering support

2/16/2007EECS150 Lab Lecture #524 ChipScope (2) Steps to use ChipScope Generate an ICON Generate an ILA Connect the ILA to the ICON Synthesize, and implement your design With the ILA and ICON Program the CaLinx board Run the ChipScope Pro Analyzer Runs over the JTAG, not Slave Serial connection!

2/16/2007EECS150 Lab Lecture #525 ChipScope (3) Logic Analyzer Similarities/Differences Triggering is similar Can be set to show waves before trigger Can trigger on repeated or combined events Data/Trigger can be MUCH bigger Up to 256bits wide As many samples as Block RAM on the FPGA Data is captured synchronously Can’t look at clocks Much easier to view waveforms

2/16/2007EECS150 Lab Lecture #526 ChipScope (4) ChipScope is useful to verify In this lab we’re using it just to make absolutely sure You will NEED ChipScope You cannot debug a large design (i.e. your project) without it Bench analyzers won’t show enough signals It helps to master use of HP Logic Analyzer and ChipScope early on. You want to have ready knowledge of both tools for when you’re working on the project.

2/16/2007EECS150 Lab Lecture #527 ChipScope (4) Detailed ChipScope Tutorial Tutorials Tutorials Get used to reading technical documents and tutorials. It’s a useful and necessary job skill for engineers.