Low-Power CMOS SRAM By: Tony Lugo Nhan Tran Adviser: Dr. David Parent.

Slides:



Advertisements
Similar presentations
COEN 180 SRAM. High-speed Low capacity Expensive Large chip area. Continuous power use to maintain storage Technology used for making MM caches.
Advertisements

Computer Organization and Architecture
Semiconductor Memory Design. Organization of Memory Systems Driven only from outside Data flow in and out A cell is accessed for reading by selecting.
Khaled A. Al-Utaibi 8086 Bus Design Khaled A. Al-Utaibi
Robust Low Power VLSI R obust L ow P ower VLSI Sub-threshold Sense Amplifier (SA) Compensation Using Auto-zeroing Circuitry 01/21/2014 Peter Beshay Department.
5-1 Memory System. Logical Memory Map. Each location size is one byte (Byte Addressable) Logical Memory Map. Each location size is one byte (Byte Addressable)
COEN 180 DRAM. Dynamic Random Access Memory Dynamic: Periodically refresh information in a bit cell. Else it is lost. Small footprint: transistor + capacitor.
Design and Application of Power Optimized High-Speed CMOS Frequency Dividers.
1 Pertemuan 13 Memory Matakuliah: H0362/Very Large Scale Integrated Circuits Tahun: 2005 Versi: versi/01.
1 8-bit X 8-bit SRAM and 3 X 8 Decoder Irina Vazir, Prabhjot Balaggan and Sumandeep Kaur Advisor: Dr. David Parent December 06, 2004.
Introduction to CMOS VLSI Design Lecture 13: SRAM
Introduction to CMOS VLSI Design Lecture 18: Design for Low Power David Harris Harvey Mudd College Spring 2004.
Chapter 10. Memory, CPLDs, and FPGAs
11/03/05ELEC / Lecture 181 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Introduction to CMOS VLSI Design SRAM/DRAM
Huffman Encoder Project. Howd - Zur Hung Eric Lai Wei Jie Lee Yu - Chiang Lee Design Manager: Jonathan P. Lee Huffman Encoder Project Final Presentation.
Digital Integrated Circuits© Prentice Hall 1995 Memory SEMICONDUCTOR MEMORIES.
Spring 07, Feb 27 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Power Consumption in a Memory Vishwani D. Agrawal.
Die-Hard SRAM Design Using Per-Column Timing Tracking
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 31: Array Subsystems (SRAM) Prof. Sherief Reda Division of Engineering,
Lecture 19: SRAM.
Parts from Lecture 9: SRAM Parts from
Digital Integrated Circuits for Communication
Memory Technology “Non-so-random” Access Technology:
Case Study - SRAM & Caches
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 28: November 15, 2013 Memory Periphery.
Power Reduction for FPGA using Multiple Vdd/Vth
Review: Basic Building Blocks  Datapath l Execution units -Adder, multiplier, divider, shifter, etc. l Register file and pipeline registers l Multiplexers,
Survey of Existing Memory Devices Renee Gayle M. Chua.
Dept. of Computer Science, UC Irvine
Washington State University
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 28: November 16, 2012 Memory Periphery.
SRAM DESIGN PROJECT PHASE 2 Nirav Desai VLSI DESIGN 2: Prof. Kia Bazargan Dept. of ECE College of Science and Engineering University of Minnesota,
הפקולטה למדעי ההנדסה Faculty of Engineering Sciences.
Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 12.1 EE4800 CMOS Digital IC Design & Analysis Lecture 12 SRAM Zhuo Feng.
Memory Semiconductor Memory Classification ETEG 431 SG Size: Bits, Bytes, Words. Timing Parameter: Read, Write Cycle… Function: ROM, RWM, Volatile, Static,
CPEN Digital System Design
Digital Logic Design Instructor: Kasım Sinan YILDIRIM
Advanced VLSI Design Unit 06: SRAM
Low Power – High Speed MCML Circuits (II)
McKenneman, Inc. SRAM Proposal Design Team: Jay Hoffman Tory Kennedy Sholanda McCullough.
CSE477 L23 Memories.1Irwin&Vijay, PSU, 2002 CSE477 VLSI Digital Circuits Fall 2002 Lecture 23: Semiconductor Memories Mary Jane Irwin (
Low-Power SRAM ECE 4332 Fall 2010 Team 2: Yanran Chen Cary Converse Chenqian Gan David Moore.
Dynamic Data Stability in Low-power SRAM Design Mohammad Sharifkhani, Shah M. Jahinuzzaman and Manoj Sachdev Electrical & Computer Engineering University.
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 28: November 16, 2011 Memory Periphery.
A Low-Power Precomputation-Based Parallel CAM Chi-Sheng Lin, Jui-Chang, Bin-Da Liu IEEE2003.
CMPEN 411 VLSI Digital Circuits Spring 2009 Lecture 22: Memery, ROM
Weak SRAM Cell Fault Model and a DFT Technique Mohammad Sharifkhani, with special thanks to Andrei Pavlov University of Waterloo.
CMPEN 411 VLSI Digital Circuits Spring 2009 Lecture 24: Peripheral Memory Circuits [Adapted from Rabaey’s Digital Integrated Circuits, Second Edition,
Semiconductor Memory Types
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 28: November 7, 2014 Memory Overview.
SRAM Design for SPEED GROUP 2 Billy Chantree Daniel Sosa Justin Ferrante.
Digital Circuits Introduction Memory information storage a collection of cells store binary information RAM – Random-Access Memory read operation.
Seok-jae, Lee VLSI Signal Processing Lab. Korea University
07/11/2005 Register File Design and Memory Design Presentation E CSE : Introduction to Computer Architecture Slides by Gojko Babić.
3/19/  Differentiate the class of memory  List the type of main memory  Explain memory architecture and operation  Draw memory map  Design.
Computer Architecture Chapter (5): Internal Memory
Prof. Hsien-Hsin Sean Lee
Lecture 19: SRAM.
Full Custom Associative Memory Core
MOS Memory and Storage Circuits
332:479 Concepts in VLSI Design Lecture 24 Power Estimation
Computer Architecture
William Stallings Computer Organization and Architecture 7th Edition
Digital Logic & Design Dr. Waseem Ikram Lecture 40.
ECE 432 Group 4 Aaron Albin Jisoon Kim Kiwamu Sato
Presentation transcript:

Low-Power CMOS SRAM By: Tony Lugo Nhan Tran Adviser: Dr. David Parent

OUTLINE 1 Introduction 2 SRAM Architecture 3 Design Strategy: Self-Timing Concept 4Design Considerations 5Conclusion

1 Introduction 1.1: More Memory, More Possibilities, More Power Consumption Memory is used widely in all electrical systems: mainframes, microcomputers and cellular phones, etc. More memory means more information, make the system run faster but more power consumption > The need for low power memory With the emerging of portable and compact devices such as smart cards, PDAs > The need for low power memory The demand for Low-Power Memory is very great.

1 Introduction 1.2: Project Goal Design and characterize an embedded Low-Power, synchronous CMOS SRAM module in 0.25um process Wide range applications in electric consumer chips, specially in ASIC This memory has a Low AC power consumption P=V 2.f.C

2 SRAM Architecture 2.1: Design Specification and Features Configuration: 64x4m4 (256 bits) Low voltage operation: 2.25V-2.75V Zero DC power consumption Self-timed to reduce AC power consumption and cycle time Access time: 5.0 ns Performance: 200 MHz for clock cycle in worst case performance Power consumption: 0.15 mW/MHz at typical power consumption

2 SRAM Architecture 2.2: Logic Block Diagram Address latch & Pre-decoder Control Circuit Memory Array Pre-charge & Equalize circuit Column Decoder Sense Amplifier Write Circuit Output Buffer/ Tristate Row Decoder q[3:0] d[3:0] clk ce we oe a[5:0]

2 SRAM Architecture 2.3: Timing Diagram READ Cycle clk a[i] we ce q[i] t AS t AH t ACC previous dataoutput valid output tristate

2 SRAM Architecture 2.3: Timing Diagram (continued) WRITE Cycle clk a[i] we ce d[i] t AS t AH t DH t DS

3 Self-Timing 3.1: SRAM Cell Operation and Short Circuit Current vdd gnd wl bl bln Bitline leakage current

3 Self-Timing 3.1: SRAM Cell Operation and Short Circuit Current (continued) Turn on word line (wl) to write to and read from a SRAM cell Bitline leakage current will appear and dissipate power Turns on wl long enough to access a SRAM cell, then turn off wl to save power

3 Self-Timing 3.2: Save Even More Power: Turning off Pre-Decoder, Row-Decoders and Column Decoder. Also, in read cycle, every Sense Amplifier can be turned off as long it finishes sensing data to output

3 Self-Timing 3.3: Self-Timing Signal Self-Timing Signal generated by memory itself like a feed back loop Pre-charges the bit lines and makes the memory get ready for the next evolution A reference cell (or dummy) is stored (hard coded) with 0 or 1 This cell is get accessed whenever the memory start an evolution (either READ or WRITE cycle)

3 Self-Timing 3.3: Self-Timing Signal Scheme Row Decoder SRAM cell Mux Sense Amplifier Column Decoder Dummy Cell Dummy Sense Amplifier

3 Self-Timing 3.3: Timing Diagram with Self-Timing Signal clk self-timing signal wl clksa

4 Design Considerations 4.1: SRAM Cell ( 6 T): Schematic

4 Design Considerations 4.1: SRAM Cell ( 6T): Layout

4 Design Considerations 4.1: SRAM Cell ( 4T): Schematic

4 Design Considerations 4.1: SRAM Cell ( 4T): Layout

4 Design Considerations 4.1: SRAM Cell : d vs. dn

4 Design Considerations 4.1: SRAM Cell : Static Noise Margin (SNM) SNM depends only on threshold voltage, VDD and the transconductance factor k ratio or cell ratio, not on the absolute value of k’s. SNM increase with cell ratio (k Wn /k Wp ) but if it is too high, it is hard to write Cell stability is controlled by the cell ratio (k Wn /k Wp ) and effected by: Bitline bias Asymmetry (Offsets) Statistical variations -Defects

4 Design Considerations 4.2 Clock-sense Amplifier: Schematic

4 Design Considerations 4.2 Clock-sense Amplifier : Layout

4 Design Considerations 4.2 Clock-sense Amplifier : Plot

4 Design Considerations 4.2 Clock-sense Amplifier : Clock Sense-Amplifier Latch is very high gain ∆V at Clock ( Φ ) rise must be sufficient to reliably set latch --- Offset voltage, cap mismatch --- Limits speed compared to static sense-amp Maintain high performance by limiting voltage swing ∆t = [C(B/L)/I read ]* ∆ V Sense Amplifier Clock often generated with self-timing signal

4 Design Considerations 4.3 Control Block: Schematic

4 Design Considerations 4.3 Control Block: Layout

4 Design Considerations 4.3 Control Block: Layout

4 Design Considerations 4.3 Top Level: Schematic

4 Design Considerations 4.3 Top Level: Layout

4 Design Considerations 4.3 Top Level: Plot

4 Design Considerations 4.3 Top Level: Plot

4 Design Considerations 4.3 Top Level: Power

5 Conclusion SRAM architecture with Self-Timing signal 1. Can save AC Power significantly 2. Uses up little area in the design Access time of SRAM 1. Limited/enhanced by the fan-out of the word line driver 2. Bit-line multiplexer incurs delay

5 Conclusion Current and future trends in SRAM design A. IBM and Motorola collaborated to build SRAM with copper interconnects Advantages: 1. A ramp up in frequency 2. Very small access times 3. Memory cells use higher threshold voltage (V t ) Future trends A. Intel built a one-square micron SRAM cell on its 90-nm process technology Mbit chips 2. SRAM chips aid building and testing