Study of Floating Fill Impact on Interconnect Capacitance Andrew B. Kahng Kambiz Samadi Puneet Sharma CSE and ECE Departments University of California,

Slides:



Advertisements
Similar presentations
Defect Tolerance for Yield Enhancement of FPGA Interconnect Using Fine-grain and Coarse-grain Redundancy Anthony J. YuGuy G.F. Lemieux September 15, 2005.
Advertisements

Andrew Byun Kahng 1 and Rasit Onur Topaloglu 1,2 1 University of California at San Diego Computer Science and Engineering Department 2 Advanced Micro Devices.
Caleb Serafy and Ankur Srivastava Dept. ECE, University of Maryland
Design Rule Generation for Interconnect Matching Andrew B. Kahng and Rasit Onur Topaloglu {abk | rtopalog University of California, San Diego.
-1- VLSI CAD Laboratory, UC San Diego Post-Routing BEOL Layout Optimization for Improved Time- Dependent Dielectric Breakdown (TDDB) Reliability Tuck-Boon.
Kwangok Jeong and Andrew B. Kahng UCSD VLSI CAD Laboratory
UCLA Modeling and Optimization for VLSI Layout Professor Lei He
4/22/ Clock Network Synthesis Prof. Shiyan Hu Office: EREC 731.
Copyright © 2014 John Wiley & Sons, Inc. All rights reserved.
Efficient Escape Routing Rui Shi, Chung-Kuan Cheng University of California, San Diego.
1 Worst-case Delay Analysis Considering the Variability of Transistors and Interconnects Takayuki Fukuoka, Tsuchiya Akira and Hidetoshi Onodera Kyoto University.
Noise Model for Multiple Segmented Coupled RC Interconnects Andrew B. Kahng, Sudhakar Muddu †, Niranjan A. Pol ‡ and Devendra Vidhani* UCSD CSE and ECE.
Toward Better Wireload Models in the Presence of Obstacles* Chung-Kuan Cheng, Andrew B. Kahng, Bao Liu and Dirk Stroobandt† UC San Diego CSE Dept. †Ghent.
Dual Graph-Based Hot Spot Detection Andrew B. Kahng 1 Chul-Hong Park 2 Xu Xu 1 (1) Blaze DFM, Inc. (2) ECE, University of California at San Diego.
Boosting: Min-Cut Placement with Improved Signal Delay Andrew B. KahngSherief Reda CSE & ECE Departments University of CA, San Diego La Jolla, CA
1 Subfield Scheduling for Througput Maximization in Electron-beam Photomask Fabrication S. Babin *, A.B. Kahng, I.I. Mandoiu, S. Muddu CSE & ECE Depts.,
Power-Aware Placement
Reticle Floorplanning With Guaranteed Yield for Multi-Project Wafers Andrew B. Kahng ECE and CSE Dept. University of California San Diego Sherief Reda.
Performance-Impact Limited Area Fill Synthesis
Fill for Shallow Trench Isolation CMP
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 15: Interconnects & Wire Engineering Prof. Sherief Reda Division of Engineering,
Architectural-Level Prediction of Interconnect Wirelength and Fanout Kwangok Jeong, Andrew B. Kahng and Kambiz Samadi UCSD VLSI CAD Laboratory
Practical Iterated Fill Synthesis for CMP Uniformity Supported by Cadence Design Systems, Inc. Y. Chen, A. B. Kahng, G. Robins, A. Zelikovsky (UCLA, UVA.
Chung-Kuan Cheng†, Andrew B. Kahng†‡,
DPIMM-03 1 Performance-Impact Limited Area Fill Synthesis Yu Chen, Puneet Gupta, Andrew B. Kahng (UCLA, UCSD) Supported by Cadence.
Electricity and Magnetism
On-Line Adjustable Buffering for Runtime Power Reduction Andrew B. Kahng Ψ Sherief Reda † Puneet Sharma Ψ Ψ University of California, San Diego † Brown.
Fill for Shallow Trench Isolation CMP Andrew B. Kahng 1,2 Puneet Sharma 1 Alexander Zelikovsky 3 1 ECE Department, University of California – San Diego.
Effects of Global Interconnect Optimizations on Performance Estimation of Deep Sub-Micron Design Yu (Kevin) Cao 1, Chenming Hu 1, Xuejue Huang 1, Andrew.
Lei He, Andrew B. Kahng*, Kingho Tam, Jinjun Xiong
Detailed Placement for Leakage Reduction Using Systematic Through-Pitch Variation Andrew B. Kahng †‡ Swamy Muddu ‡ Puneet Sharma ‡ CSE † and ECE ‡ Departments,
ISPD 2000, San DiegoApr 10, Requirements for Models of Achievable Routing Andrew B. Kahng, UCLA Stefanus Mantik, UCLA Dirk Stroobandt, Ghent.
Topography-Aware OPC for Better DOF margin and CD control Puneet Gupta*, Andrew B. Kahng*†‡, Chul-Hong Park†, Kambiz Samadi†, and Xu Xu‡ * Blaze-DFM Inc.
Design of Integrated-Circuit Interconnects with Accurate Modeling of Chemical-Mechanical Planarization Lei He, Andrew B. Kahng*, Kingho Tam, Jinjun Xiong.
Closing the Loop in Interconnect Analyses and Optimization: CMP Fill, Lithography and Timing Puneet Gupta 1 Andrew B. Kahng 1,2,3 O.S. Nakagawa 1 Kambiz.
Design of Integrated-Circuit Interconnects with Accurate Modeling of Chemical-Mechanical Planarization Lei He, Andrew B. Kahng* #, Kingho Tam, Jinjun Xiong.
UC San Diego Computer Engineering. VLSI CAD Laboratory.. UC San Diego Computer EngineeringVLSI CAD Laboratory.. UC San Diego Computer EngineeringVLSI CAD.
1 A Novel Metric for Interconnect Architecture Performance Parthasarathi Dasgupta, Andrew B. Kahng, Swamy V. Muddu Dept. of CSE and ECE University of California,
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
Noise and Delay Uncertainty Studies for Coupled RC Interconnects Andrew B. Kahng, Sudhakar Muddu † and Devendra Vidhani ‡ UCLA Computer Science Department,
Decoupling Capacitance Allocation for Power Supply Noise Suppression Shiyou Zhao, Kaushik Roy, Cheng-Kok Koh School of Electrical & Computer Engineering.
Yulei Zhang1, James F. Buckwalter1, and Chung-Kuan Cheng2
ECE 424 – Introduction to VLSI Design
A Methodology for Interconnect Dimension Determination By: Jeff Cobb Rajesh Garg Sunil P Khatri Department of Electrical and Computer Engineering, Texas.
Research on Analysis and Physical Synthesis Chung-Kuan Cheng CSE Department UC San Diego
1 Coupling Aware Timing Optimization and Antenna Avoidance in Layer Assignment Di Wu, Jiang Hu and Rabi Mahapatra Texas A&M University.
Norhayati Soin 06 KEEE 4426 WEEK 7/1 6/02/2006 CHAPTER 2 WEEK 7 CHAPTER 2 MOSFETS I-V CHARACTERISTICS CHAPTER 2.
1 SOC Test Architecture Optimization for Signal Integrity Faults on Core-External Interconnects Qiang Xu and Yubin Zhang Krishnendu Chakrabarty The Chinese.
Kwangsoo Han, Andrew B. Kahng, Hyein Lee and Lutong Wang
Kwangsoo Han‡, Andrew B. Kahng‡† and Hyein Lee‡
Closing the Smoothness and Uniformity Gap in Area Fill Synthesis Supported by Cadence Design Systems, Inc., NSF, the Packard Foundation, and State of Georgia’s.
Process Variation Mohammad Sharifkhani. Reading Textbook, Chapter 6 A paper in the reference.
ECE 260B – CSE 241A /UCB EECS Kahng/Keutzer/Newton Physical Design Flow Read Netlist Initial Placement Placement Improvement Cost Estimation Routing.
Capacitance Chapter 25 Copyright © 2014 John Wiley & Sons, Inc. All rights reserved.
Maze Routing Algorithms with Exact Matching Constraints for Analog and Mixed Signal Designs M. M. Ozdal and R. F. Hentschke Intel Corporation ICCAD 2012.
Chapter 4: Secs ; Chapter 5: pp
-1- UC San Diego / VLSI CAD Laboratory Optimization of Overdrive Signoff Tuck-Boon Chan, Andrew B. Kahng, Jiajia Li and Siddhartha Nath Tuck-Boon Chan,
-1- Delay Uncertainty and Signal Criticality Driven Routing Channel Optimization for Advanced DRAM Products Samyoung Bang #, Kwangsoo Han ‡, Andrew B.
Congestion-Driven Re-Clustering for Low-cost FPGAs MASc Examination Darius Chiu Supervisor: Dr. Guy Lemieux University of British Columbia Department of.
Errors due to process variations Deterministic error –Characterized a priori Over etching, vicinity effects, … –A priori unknown Gradient errors due to.
Slide 1 SLIP 2004 Payman Zarkesh-Ha, Ken Doniger, William Loh, and Peter Bendix LSI Logic Corporation Interconnect Modeling Group February 14, 2004 Prediction.
Worst Case Crosstalk Noise for Nonswitching Victims in High-Speed Buses Jun Chen and Lei He.
The Interconnect Delay Bottleneck.
Solenoid Performances in Different Situations
Crosstalk If both a wire and its neighbor are switching at the same time, the direction of the switching affects the amount of charge to be delivered and.
Chapter 4 Interconnect.
Capacitance variation 3/ (%)
SIDDAGANGA INSTITUTE OF TECHNOLOGY
EE201C Chapter 3 Interconnect RLC Modeling
Applications of GTX Y. Cao, X. Huang, A.B. Kahng, F. Koushanfar, H. Lu, S. Muddu, D. Stroobandt and D. Sylvester Abstract The GTX (GSRC Technology Extrapolation)
Presentation transcript:

Study of Floating Fill Impact on Interconnect Capacitance Andrew B. Kahng Kambiz Samadi Puneet Sharma CSE and ECE Departments University of California, San Diego

Outline Introduction Foundations Study of Capacitance Impact of Fill –Proposed Guidelines Validation of Guidelines Conclusions

Introduction Why fill is needed? Planarity after chemical-mechanical polishing (CMP) depends on pattern Metal fill reduces pattern density variation Stringent planarity requirements  fill mandatory now Impact on capacitance Grounded fill Increases capacitance  larger delay Shields neighboring interconnects  reduced xtalk Floating fill Increases coupling capacitance  significantly more xtalk  signal integrity & delay Increases total capacitance  larger delay

Motivation Floating-fill extraction is complex Floating-fill capability recently added to full-chip extractors In past large buffer distance design-rule used Reduces coupling impact Density constraints cannot be met  reduce buffer distance  inaccuracy in capacitance estimation We systematically analyze capacitance impact of fill config. parameters (e.g., fill size, fill location, interconnect width, etc.) Propose guidelines for floating fill insertion to reduce capacitance impact Grounded fill used despite disadvantages (e.g., higher delay impact, routing needed) Designers use floating fill extremely conservatively  Better understanding of capacitance impact needed

Assumptions & Terminology Same-layer analysis –Fill affects coupling of all interconnects in proximity –We study effect on coupling capacitance of same-layer interconnects  simplifies analysis Terminology –Fill and coupling interconnects are on Layer M (layer of interest) –i a and i b are interconnects of interest with coupling C ab –We study increase in coupling ΔC ab due to fill insertion –Dimensions measured in tracks (=0.3µ) –Usability not compromised because: 1.Coupling with same-layer neighbor large –Validation: multiple configs with different densities on different layers considered 2.Fill insertion between two same-layer interconnects, increases coupling significantly –Validation: fill inserted everywhere  Large fraction of coupling impact captured by same-layer analysis Synopsys Raphael, 3D field solver, used in all experiments

Outline Introduction Foundations Study of Capacitance Impact of Fill –Proposed Guidelines Validation of Guidelines Conclusions

Foundation 1 Experimental Setup Two interconnects on Layer M separated by three tracks Fill inserted on Layer M between two interconnects M+1/M-1 density is set to 33% 20%, 33%, 100% metal density for Layer M+2/M-2 tried For ΔC ab analysis, Layers M-2 and M+2 may be assumed as groundplanes

Foundation 2 Experimental Setup Two interconnects on Layer M separated by three tracks M+1 & M-1 density is set to 33% M+2 & M-2 assumed groundplanes Fill features inserted on Layer M at different locations ΔC ab is affected by fill geometries in the region RE ab only.

Outline Introduction Foundations Study of Capacitance Impact of Fill –Proposed Guidelines Validation of Guidelines Conclusions

Fill Size Fill length (along the interconnects) Linear increase in ΔC ab with Y-intercept Guideline: Increase fill length instead of width Fill width Increases super-linearly Using parallel-plate capacitor analogy, 1/w relation expected Settings: Interconnect separation = 3 tracks Layers M-1/M+1 have 33% density 2 track width, 1 track length

Interconnect Spacing ΔC ab decreases super-linearly with spacing For larger spacings (>10 tracks), coupling with M-1 and M+1 wires more significant Settings: Fill size = 2 tracks x 2 tracks Layers M-1/M+1 have 33% density Guideline: Insert fill where wire spacing is large

Fill Location Y-axis translation C ab unaffected until fill close to an interconnect ending Guideline: Center fill horizontally between interconnects X-axis translation ΔC ab increases ~linearly Capacitance between fill & closer interconnect increases dramatically Settings: Wire spacing = 8 tracks Fill size = 2 tracks wide, 4 long Layers M-1/M+1 have 33% density

Edge Effects Study two cases: (1) two interconnects horizontally aligned, and (2) not horizontally aligned With Y-axis translation of fill, edge effects observed When fill no longer in R ab, ΔC ab dramatically decreases Settings: Layers M-1/M+1 have 33% density Interconnect width = 2 tracks Fill size = 4 tracks long, 2 wide Guideline: Insert fill in low-impact region (= outside R ab ) R ab

Interconnect Width Change width of one interconnect Interconnect-fill spacing and interconnect spacing constant ΔC ab increases rapidly, but saturates at ~ 4 tracks Guideline: Insert fill next to thinner interconnects

Multiple Columns Vertically aligned fill geometries are said to be in a fill column Change number of fill columns in fill pattern Fill area is kept constant ΔC ab reduces with number of fill columns Cf. Tran. Electron Devices ’98 (MIT) Cf. VMIC-2004 invited paper (UCSD / UCLA) Guideline: Increase number of fill columns

Multiple Rows Horizontally aligned fill geometries are said to be in a fill row Change number of fill rows in fill pattern Fill area is kept constant ΔC ab increases with number of fill rows As spacing between two fill rows decreases, the ΔC ab decreases Guideline: Decrease number of fill rows and inter-row spacing

Outline Introduction Background & Terminology Study of Capacitance Impact of Fill –Proposed Guidelines Validation of Guidelines Conclusions

Application of Guidelines RegularStaggeredWith guidelines Guidelines applied 1.Edge effects 2.Maximize columns 3.Minimize rows 4.Centralize fill ΔC = 64% ΔC = 62% ΔC = 16% Apply guidelines on 3 interconnect configurations Reasonable design rules assumed Configuration 1

Guidelines on Configuration 2 ΔC = 41% ΔC = 30% Guidelines applied 1.Wire width 2.Minimize rows

Guidelines on Configuration 3 ΔC = 27% ΔC = 11% Guidelines applied 1.High-impact region 2.Edge effects 3.Wire spacing 4.Minimize rows 5.Centralize fill

Conclusions Coupling with same-layer neighboring wires significant and same-layer fill insertion increases it dramatically Systematically analyzed the impact of floating fill configurations on coupling of same-layer interconnects Propose guidelines for floating fill insertion to reduce coupling increase Ongoing work: –3D extensions: Impact on coupling of different-layer interconnects –Timing- and SI-driven fill insertion methodology