Output Hazard-Free Transition Tests for Silicon Calibrated Scan Based Delay Testing Adit D. Singh Gefu Xu Auburn University.

Slides:



Advertisements
Similar presentations
IC TESTING.
Advertisements

Retiming Scan Circuit To Eliminate Timing Penalty
At-Speed Test Considering Deep Submicron Effects
Copyright 2001, Agrawal & BushnellLecture 12: DFT and Scan1 VLSI Testing Lecture 10: DFT and Scan n Definitions n Ad-hoc methods n Scan design  Design.
1 COMP541 Flip-Flop Timing Montek Singh Oct 6, 2014.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 261 Lecture 26 Logic BIST Architectures n Motivation n Built-in Logic Block Observer (BILBO) n Test.
CMP238: Projeto e Teste de Sistemas VLSI Marcelo Lubaszewski Aula 2 - Teste PPGC - UFRGS 2005/I.
Slides based on Kewal Saluja
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 13/12alt1 Lecture 13 Sequential Circuit ATPG Time-Frame Expansion (Lecture 12alt in the Alternative.
Supply Voltage Noise Aware ATPG for Transition Delay Faults Nisar Ahmed and M. Tehranipoor University of Connecticut Vinay Jayaram Texas Instruments, TX.
Mar. 23, 2001VLSI Test: Bushnell-Agrawal/Lecture 201 Lecture 20 Delay Test n Delay test definition n Circuit delays and event propagation n Path-delay.
Introduction to CMOS VLSI Design Sequential Circuits
1 Analyzing Reconvergent Fanouts in Gate Delay Fault Simulation Dept. of ECE, Auburn University Auburn, AL Hillary Grimes & Vishwani D. Agrawal.
NCHUCS1 Scan Chain Reorder Sying-Jyan Wang Department of Computer Science National Chung-Hsing University.
1 Lecture 28 Timing Analysis. 2 Overview °Circuits do not respond instantaneously to input changes °Predictable delay in transferring inputs to outputs.
Synchronous Digital Design Methodology and Guidelines
Assume array size is 256 (mult: 4ns, add: 2ns)
Lecture 20 Delay Test (Lecture 17alt in the Alternative Sequence)
Copyright 2005, Agrawal & BushnellVLSI Test: Lecture 19alt1 Lecture 19alt I DDQ Testing (Alternative for Lectures 21 and 22) n Definition n Faults detected.
1 Lecture 20 Delay Test n Delay test definition n Circuit delays and event propagation n Path-delay tests  Non-robust test  Robust test  Five-valued.
Dynamic Scan Clock Control In BIST Circuits Priyadharshini Shanmugasundaram Vishwani D. Agrawal
EE466: VLSI Design Lecture 17: Design for Testability
Externally Tested Scan Circuit with Built-In Activity Monitor and Adaptive Test Clock Priyadharshini Shanmugasundaram Vishwani D. Agrawal.
4/28/05Vemula: ELEC72501 Enhanced Scan Based Flip-Flop for Delay Testing By Sudheer Vemula.
Design for Testability
HIGH-SPEED VLSI TESTING WITH SLOW TEST EQUIPMENT Vishwani D. Agrawal Agere Systems Processor Architectures and Compilers Research Murray Hill, NJ
Priyadharshini Shanmugasundaram Vishwani D. Agrawal DYNAMIC SCAN CLOCK CONTROL FOR TEST TIME REDUCTION MAINTAINING.
TH EDA NTHU-CS VLSI/CAD LAB 1 Re-synthesis for Reliability Design Shih-Chieh Chang Department of Computer Science National Tsing Hua University.
10/25/2007 ITC-07 Paper Delay Fault Simulation with Bounded Gate Delay Model Soumitra Bose Design Technology, Intel Corp. Folsom, CA Hillary.
Vishwani D. Agrawal James J. Danaher Professor
1 Reconvergent Fanout Analysis of Bounded Gate Delay Faults Dept. of ECE, Auburn University Auburn, AL Master’s Defense Hillary Grimes Thesis Advisor:
January 16, '02Agrawal: Delay testing1 Delay Testing of Digital Circuits Vishwani D. Agrawal Agere Systems, Murray Hill, NJ USA
A Defect Tolerant and Performance Tunable Gate Architecture for End-of-Roadmap CMOS Adit D. Singh Electrical and Computer Engineering, Auburn University.
HIGH-SPEED VLSI TESTING WITH SLOW TEST EQUIPMENT Vishwani D. Agrawal Agere Systems Processor Architectures and Compilers Research Murray Hill, NJ
Testing of Logic Circuits. 2 Outline  Testing –Logic Verification –Silicon Debug –Manufacturing Test  Fault Models  Observability and Controllability.
Laboratory of Reliable Computing Department of Electrical Engineering National Tsing Hua University Hsinchu, Taiwan Delay Defect Characteristics and Testing.
CS 151 Digital Systems Design Lecture 32 Hazards
DFT Technologies for High- Quality Low-Cost Manufacturing Tests Yuval Snir JTAG 2006 Yuval Snir JTAG 2006.
Design for Testability
Dynamic Test Set Selection Using Implication-Based On-Chip Diagnosis Nuno Alves, Yiwen Shi, Nicholas Imbriglia, and Iris Bahar Brown University Jennifer.
EE 447/EE547 1 VLSI DESIGN Lecture 10 Design for Testability.
Technical University Tallinn, ESTONIA 1 Boolean derivatives Calculation of the Boolean derivative: Given:
Introduction to CMOS VLSI Design Test. CMOS VLSI DesignTestSlide 2 Outline  Testing –Logic Verification –Silicon Debug –Manufacturing Test  Fault Models.
August VLSI Testing and Verification Shmuel Wimer Bar Ilan University, School of Engineering.
CSE477 L28 DFT.1Irwin&Vijay, PSU, 2003 CSE477 VLSI Digital Circuits Fall 2003 Lecture 28: Design for Test Mary Jane Irwin ( )
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS
A Robust Pulse-triggered Flip-Flop and Enhanced Scan Cell Design
© BYU 18 ASYNCH Page 1 ECEn 224 Handling Asynchronous Inputs.
An Unobtrusive Debugging Methodology for Actel AX and RTAX-S FPGAs Jonathan Alexander Applications Consulting Manager Actel Corporation MAPLD 2004.
By Praveen Venkataramani
L i a b l eh kC o m p u t i n gL a b o r a t o r y Test Economics for Homogeneous Manycore Systems Lin Huang† and Qiang Xu†‡ †CUhk REliable computing laboratory.
Final Exam Review. Homework Notes Pay attention to significant digits Should not have more significant digits in answer than in problem Example: HW1 #1.1.
Copyright 2001, Agrawal & BushnellLecture 6: Sequential ATPG1 VLSI Testing Lecture 6: Sequential ATPG n Problem of sequential circuit ATPG n Time-frame.
ELEC 7950 – VLSI Design and Test Seminar
CUHK Test and Fault-Tolerance for Timing Error Presenter: Feng Yuan.
Lecture 5: Design for Testability. CMOS VLSI DesignCMOS VLSI Design 4th Ed. 12: Design for Testability2 Outline  Testing –Logic Verification –Silicon.
Unified Adaptivity Optimization of Clock and Logic Signals Shiyan Hu and Jiang Hu Dept of Electrical and Computer Engineering Texas A&M University.
An Unobtrusive Debugging Methodology for Actel AX and RTAX-S FPGAs
Hayri Uğur UYANIK Very Large Scale Integration II - VLSI II
ECE 434 Advanced Digital System L18
Lecture 10 Sequential Circuit ATPG Time-Frame Expansion
Pattern Compression for Multiple Fault Models
Design for Testability
VLSI Testing Lecture 8: Sequential ATPG
Post-Silicon Calibration for Large-Volume Products
VLSI Testing Lecture 9: Delay Test
ECE 352 Digital System Fundamentals
VLSI Testing Lecture 7: Delay Test
Lecture 26 Logic BIST Architectures
Test Data Compression for Scan-Based Testing
Presentation transcript:

Output Hazard-Free Transition Tests for Silicon Calibrated Scan Based Delay Testing Adit D. Singh Gefu Xu Auburn University

IC Testing is a Difficult Problem  Need 2 3 = 8 input patterns to exhaustively test a 3-input NAND  2 N tests needed for N-input circuit  Many ICs have > 100 inputs  Only a very few input combinations can be applied in practice = 1.27 x Applying tests at 10 9 per second (1 GHZ) will require10 21 secs = 400 billion centuries! Applying tests at 10 9 per second (1 GHZ) will require secs = 400 billion centuries! 3-input NAND

How well must we test? Approximate order-of-magnitude estimates  Number of parts per typical system: 100  Acceptable system defect rate: 1% (1 per 100)  Therefore, required part reliability  1 defect in 10,000  100 Defects Per Million (100 DPM) Requirement ~100 DPM for commercial ICs Requirement ~100 DPM for commercial ICs ~500 DPM for ASICs ~500 DPM for ASICs

How well must we test? Assume 2 million ICs manufactured with 50% yield  1 million GOOD >> shipped  1 million BAD >> test escapes cause defective parts to be shipped parts to be shipped  For 100 BAD parts in 1M shipped (DPM=100) Test must detect 999,900 Test must detect 999,900 out of the 1,000,000 BAD parts out of the 1,000,000 BAD parts For 100 DPM: Needed Test Coverage = 99.99%

Defects Detected by Different Tests  Number of Defects (DPM) found by individual additional tests in high end die after screening by high quality stuck-at tests DPMDPM Burn-in Delay N-detect Bridging Target DPM

Scan Based Delay Tests Also  Good functional timing tests are difficult to develop  At-speed testers are expensive Scan-based delay testing is essential for SOCs  Because of insufficient access to cores for application of at- speed functional tests

Timing or Delay Tests Timing or Delay Tests  Two-pattern test vectors cause a change at the outputs  Switching delay is the time from the application (launch) of V 2 until change at the output  Worst case switching delay < clock period V 1 V 2

Scan Based Delay Testing  Restricts the two pattern tests that can be applied  Launch - on - shift (LOS) V2 : 1-bit scan shift of V1 V2 : 1-bit scan shift of V1  Launch - on - capture (LOC) V2: functional response to V1 V2: functional response to V1  Restriction makes it harder to apply “worst case” delay tests Comb. Logic Scan FFs Launch Capture V1 R[V1]

MUX FlipFlop Data In ScanEnable Scan In Data Out Clock LOGIC Launch-on-Shift Clock Edge 1: Launch V2 (scan = 1) Then switch scan = 0 Clock Edge 2: Captures response to change in Flip Flop V1 V2

MUX FlipFlop Data In ScanEnable Scan In Data Out Clock LOGIC Launch Clock Edge 1: Launch V2 (scan = 1) Then switch scan = 0 Clock Edge 2: Captures response to change in Flip Flop V1V2 V2

MUX FlipFlop Data In ScanEnable Scan In Data Out Clock LOGIC Capture Clock Edge 1: Launch V2 (scan = 1) Then switch scan = 0 Clock Edge 2: Captures response to change in Flip Flop V2

MUX FlipFlop ScanEnable Scan In Clock LOGIC Launch-on-Capture Clock Edge 1: Apply V1 (scan = 1) Then switch scan = 0 Clock Edge 2: Capture response to V1 to launch V2 Clock Edge 3: Capture response to V2 V1 V2 = Response[V1]

 Two vector tests that test for rising and falling delays at every circuit node  Guarantee detection of “gross” delay faults that exceed a clock period  May not detect smaller delay faults that are absorbed within timing slacks on short paths Transition Delay Fault (TDF) Tests

 Despite the structural restrictions, scan based delay test can achieve LOC: % TDF coverage LOC: % TDF coverage LOS: % TDF coverage LOS: % TDF coverage  LOS tests also generally require fewer patterns TDF Coverage of Scan Based Tests

Summary of Delay Tests performed - LSI Logic IEEE D&T TDF and at-speed functional effectiveness comparison

Variations in CMOS Delays  CMOS delays greatly depend on the off path signals and internal circuit state  Hard to find to ensure worst case conditions for signal propagation along a path.  Often this worst case test vector pair can be different for the same circuit depending on fabrication parameters 3X variation in rise time

Finding tests for worst case signal propagation conditions  “Robust” path delay tests are logic level tests that account for many but not all worst case conditions - Robust Path Delay tests often do not - Robust Path Delay tests often do not exist for many (even majority) of the paths exist for many (even majority) of the paths  Many desired delay timing tests may be impossible to apply in a scan environment because of structural restrictions Problems in Delay Test Application

Input Based Path Delay Variations PATHPATH Delay T_critical clock average delay Timing margins to allow for parameter variations, clock skew, etc can make “small” defects undetectable Timing Margin 10% T_cr

Input Based Path Delay Variations PATHPATH Delay T_critical clock average delay Defects that increase path delay by less than 10-20% of T_critical are virtually undetectable Timing Margin 10% T_cr

Simulation Results for Launch-on-shift Yan [ITC 04]

 For a 8 level critical path, average gate delay 12.5% of T_critical 12.5% of T_critical  25% extra path delay implies a 200% increase in the delay for some gate - significant! - e.g. Via resistance must grow 1,000-10,000X - e.g. Via resistance must grow 1,000-10,000X from 0.1ohm (typical) to cause such a delay from 0.1ohm (typical) to cause such a delay  Simulations [Yan ATS 04] estimate about 50% will cause timing related functional failure for some untested input - many will also cause early life reliability failure - many will also cause early life reliability failure Are these “small” defects worth detecting?

DDSI: Delay Detection in the Slack Interval  Abnormal delays are observed in the slack interval  A delay defect need only be active to be detected – no need to set up worst case conditions to exceed the nominal clock period – no need to set up worst case conditions to exceed the nominal clock period V1 V2 Observation Clock Operational Clock Slack

Timing Simulation based Tests  Switching delays for each output obtained through timing simulation  Must add margins for process variations  Test repeated for multiple fast clocks V1 V2  Cadence “True Time” delay tests claim TDF ~ 80% sufficient for 2X DPM improvement sufficient for ~ 2X DPM improvement Accurate timing simulation difficult & expensive! Accurate timing simulation difficult & expensive! Fast Observation Clocks

 Delay detection is the slack interval solves the problem of detecting defects on “short” paths - huge simulation cost - huge simulation cost - margins for parameter variations limit - margins for parameter variations limit effectiveness effectiveness  Problem of “out of normal mode testing” still to be solved A Second Major Problem with Scan Based Delay Tests

 Scan based launch and capture delay tests place the circuit out of the normal functional operating mode which can significantly impact circuit performance and change observed delays  IR drops in power rail due to excess switching activity can slow logic and give false fails  Unto 20% delay variation from 40 o C temperature change  10-20% “clock stretch”  Unexpected coupling noise Scan Tests “Out of Normal Mode”

Proposed Solution: Timing Learned from Silicon  Same idea as simulation based DDSI method  Except timing “learned” from golden die  More accurate and less expensive than simulation V1 V2 Also automatically accounts for test conditions:  IR drops, temp variations, clock stretch, coupling, etc Fast Observation Clocks

Proposed Solution: Timing Learned from Silicon For each 2-vector delay test pattern, the switching time is learned from a “golden” die

Need Output Hazard Free Tests How a hazard can cause incorrect timing to be learned

Output Hazard Free Transition Tests  Output hazard free tests are less restrictive than robust tests  Test responses are only observed on outputs known to be hazard free  Philips has shown hazard free tests with ~ 50% TDF coverage with test filtering using fast timing simulation  Expensive to filter large test sets

What Coverage is Achievable from Output Hazard Free Transition Tests?  Masking of potential due to paths of differing lengths  Such potentially hazard free outputs are good candidates for test filtering

LOS Circuit Hazard-Free TDF (Lower Bound) Mode 3Mode 2Mode 1Mode 0 Unrestricted TDF S S S S S S S S S S S S526n S S S S S S S S S S S S S S Ave

LOC Circuit Hazard-Free TDF (Lower Bound) Mode 3Mode 2Mode 1Mode0Unrestricted TDF S S S S S S S S S S S S526n S S S S S S S S S S S S S S Ave

S S S S Ave S S S S Ave Circui t Hazar d-Free TDF (Lowe r Bound ) Mode 3 Mode 2 Mode 1 Mode 0 Unres tricte d TDF Circui t Hazar d-Free TDF (Lowe r Bound ) Mode 3 Mode 2 Mode 1 Mode 0 Unres tricte d TDF LOSLOC

Identifying Golden Die x x  Timing responses from two matched neighboring die are compared  If delays are with the expected range based on observed parameter variations the die are taken to be golden die xxx xxx Note: Response reflects test conditions!

Summary  Learning timing from silicon can allow effective delay testing that automatically accounts for the “non function” test conditions of scan based delay tests  Obtaining such timing requires output hazard free TDF tests which can be obtained with coverage ~10% below unrestricted scan based TDF tests  Learning timing from silicon can be much cheaper and more accurate that timing simulation of short paths and can better account for parameter variations

Questions?