Yefu Wang and Kai Ma. Project Goals and Assumptions Control power consumption of multi-core CPU by CPU frequency scaling Assumptions: Each core can be.

Slides:



Advertisements
Similar presentations
Dynamic Power Redistribution in Failure-Prone CMPs Paula Petrica, Jonathan A. Winter * and David H. Albonesi Cornell University *Google, Inc.
Advertisements

Chapter 3 Embedded Computing in the Emerging Smart Grid Arindam Mukherjee, ValentinaCecchi, Rohith Tenneti, and Aravind Kailas Electrical and Computer.
CPU Review and Programming Models CT101 – Computing Systems.
2013/06/10 Yun-Chung Yang Kandemir, M., Yemliha, T. ; Kultursay, E. Pennsylvania State Univ., University Park, PA, USA Design Automation Conference (DAC),
Scheduling Algorithms for Unpredictably Heterogeneous CMP Architectures J. Winter and D. Albonesi, Cornell University International Conference on Dependable.
Using Hardware Vulnerability Factors to Enhance AVF Analysis Vilas Sridharan RAS Architecture and Strategy AMD, Inc. International Symposium on Computer.
CML CML Presented by: Aseem Gupta, UCI Deepa Kannan, Aviral Shrivastava, Sarvesh Bhardwaj, and Sarma Vrudhula Compiler and Microarchitecture Lab Department.
Techniques for Multicore Thermal Management Field Cady, Bin Fu and Kai Ren.
PRACTICAL DYNAMIC THERMAL MANAGEMENT ON INTEL DESKTOP COMPUTER Guanglei Liu Department of Electrical and Computer Engineering Florida International University.
Power Analysis of WEP Encryption Jack Kang Benjamin Lee CS252 Final Project Fall 2003.
1 Introduction Background: CS 3810 or equivalent, based on Hennessy and Patterson’s Computer Organization and Design Text for CS/EE 6810: Hennessy and.
Temperature-Aware Design Presented by Mehul Shah 4/29/04.
Author: D. Brooks, V.Tiwari and M. Martonosi Reviewer: Junxia Ma
1 Introduction Background: CS 3810 or equivalent, based on Hennessy and Patterson’s Computer Organization and Design Text for CS/EE 6810: Hennessy and.
ECE 510 Brendan Crowley Paper Review October 31, 2006.
Single-Chip Multi-Processors (CMP) PRADEEP DANDAMUDI 1 ELEC , Fall 08.
Slide 1 U.Va. Department of Computer Science LAVA Architecture-Level Power Modeling N. Kim, T. Austin, T. Mudge, and D. Grunwald. “Challenges for Architectural.
Spring 2000, 4/27/00 Power evaluation of SmartDust remote sensors CS 252 Project Presentation Robert Szewczyk Andras Ferencz.
The Impact of Performance Asymmetry in Multicore Architectures Saisanthosh Ravi Michael Konrad Balakrishnan Rajwar Upton Lai UW-Madison and, Intel Corp.
Folklore Confirmed: Compiling for Speed = Compiling for Energy Tomofumi Yuki INRIA, Rennes Sanjay Rajopadhye Colorado State University 1.
Erkan Çetiner. Outline Introduction Related Works Modeling Methodology Baseline Results DTM Techniques Conclusions.
Low Power Techniques in Processor Design
McPAT: An Integrated Power, Area, and Timing Modeling Framework for Multicore and Manycore Architectures Runjie Zhang Dec.3 S. Li et al. in MICRO’09.
Determining the Optimal Process Technology for Performance- Constrained Circuits Michael Boyer & Sudeep Ghosh ECE 563: Introduction to VLSI December 5.
SYNAR Systems Networking and Architecture Group Scheduling on Heterogeneous Multicore Processors Using Architectural Signatures Daniel Shelepov and Alexandra.
ECE Power Control for Chip Multiprocessors Xue Li Oct 27, 2009.
“Low-Power, Real-Time Object- Recognition Processors for Mobile Vision Systems”, IEEE Micro Jinwook Oh ; Gyeonghoon Kim ; Injoon Hong ; Junyoung.
International Symposium on Low Power Electronics and Design NoC Frequency Scaling with Flexible- Pipeline Routers Pingqiang Zhou, Jieming Yin, Antonia.
1 Overview 1.Motivation (Kevin) 1.5 hrs 2.Thermal issues (Kevin) 3.Power modeling (David) Thermal management (David) hrs 5.Optimal DTM (Lev).5 hrs.
1 Single-ISA Heterogeneous Multi-Core Architectures: The Potential for Processor Power Reduction Rakesh Kumar, Keith I. Farkas, Norman P. Jouppi, Parthasarathy.
Variation Aware Application Scheduling in Multi-core Systems Lavanya Subramanian, Aman Kumar Carnegie Mellon University {lsubrama,
1 Server-level Power Control Ming Chen. 2 Motivations(1) Clusters of hundreds, even thousands of servers; Occupy one room of a building or even a whole.
1 Computer Architecture Research Overview Rajeev Balasubramonian School of Computing, University of Utah
An Analysis of Efficient Multi-Core Global Power Management Policies: Maximizing Performance for a Given Power Budget Represented by: Majid Malaika Authors:
Applying Control Theory to the Caches of Multiprocessors Department of EECS University of Tennessee, Knoxville Kai Ma.
Energy-Effective Issue Logic Hasan Hüseyin Yılmaz.
An Analysis of Efficient Multi-Core Global Power Management Policies Authors: Canturk Isci†, Alper Buyuktosunoglu†, Chen-Yong Cher†, Pradip Bose† and Margaret.
COMPUTER ORGANIZATIONS CSNB123. COMPUTER ORGANIZATIONS CSNB123 Why do you need to study computer organization and architecture? Computer science and IT.
FPGAs for Temperature-Aware Microarchitecture Research Siva Velusamy, Wei Huang, John Lach, Mircea Stan and Kevin Skadron University of Virginia.
Power Estimation and Optimization for SoC Design
Modeling in Computer Architecture Matthew Jacob. Architecture Evaluation Challenges Skadron, Martonosi, August, Hill, Lilja and Pai, IEEE Computer, Aug.
NC STATE UNIVERSITY 1 Feedback EDF Scheduling w/ Async. DVS Switching on the IBM Embedded PowerPC 405 LP Frank Mueller North Carolina State University,
 GPU Power Model Nandhini Sudarsanan Nathan Vanderby Neeraj Mishra Usha Vinodh
Enabling System-Level Modeling of Variation-Induced Faults in Networks-on-Chips Konstantinos Aisopos (Princeton, MIT) Chia-Hsin Owen Chen (MIT) Li-Shiuan.
DTM and Reliability High temperature greatly degrades reliability
Lev Finkelstein ISCA/Thermal Workshop 6/ Overview 1.Motivation (Kevin) 2.Thermal issues (Kevin) 3.Power modeling (David) 4.Thermal management (David)
Runtime Software Power Estimation and Minimization Tao Li.
Power Awareness through Selective Dynamically Optimized Traces Roni Rosner, Yoav Almog, Micha Moffie, Naftali Schwartz and Avi Mendelson – Intel Labs,
 GPU Power Model Nandhini Sudarsanan Nathan Vanderby Neeraj Mishra Usha Vinodh
Computer Science and Engineering Power-Performance Considerations of Parallel Computing on Chip Multiprocessors Jian Li and Jose F. Martinez ACM Transactions.
Hybrid Multi-Core Architecture for Boosting Single-Threaded Performance Presented by: Peyman Nov 2007.
An Integrated GPU Power and Performance Model (ISCA’10, June 19–23, 2010, Saint-Malo, France. International Symposium on Computer Architecture)
CMP Design Space Exploration Subject to Physical Constraints Yingmin Li, Benjamin Lee, David Brooks, Zhigang Hu, Kevin Skadron HPCA’06 01/27/2010.
CML Path Selection based Branching for CGRAs ShriHari RajendranRadhika Thesis Committee : Prof. Aviral Shrivastava (Chair) Prof. Jennifer Blain Christen.
ECE692 Course Project Proposal Cache-aware power management for multi-core real-time systems Xing Fu Khairul Kabir 16 September 2009.
CISC 879 : Advanced Parallel Programming Vaibhav Naidu Dept. of Computer & Information Sciences University of Delaware Dark Silicon and End of Multicore.
Best detection scheme achieves 100% hit detection with
Advanced Science and Technology Letters Vol.43 (Multimedia 2013), pp Superscalar GP-GPU design of SIMT.
ECE 692 Power-Aware Computer Systems Final Review Prof. Xiaorui Wang.
VU-Advanced Computer Architecture Lecture 1-Introduction 1 Advanced Computer Architecture CS 704 Advanced Computer Architecture Lecture 1.
Die Stacking (3D) Microarchitecture Bryan Black, Murali Annavaram, Ned Brekelbaum, John DeVale, Lei Jiang, Gabriel H. Loh1, Don McCauley, Pat Morrow, Donald.
Overview Motivation (Kevin) Thermal issues (Kevin)
Canturk ISCI Margaret MARTONOSI
Multi-core CPU Power Control
Temperature aware architecture of 14nm Broadwell chip-sets
An Automated Design Flow for 3D Microarchitecture Evaluation
A High Performance SoC: PkunityTM
Chapter 1 Introduction.
Die Stacking (3D) Microarchitecture -- from Intel Corporation
Lev Finkelstein ISCA/Thermal Workshop 6/2004
Presentation transcript:

Yefu Wang and Kai Ma

Project Goals and Assumptions Control power consumption of multi-core CPU by CPU frequency scaling Assumptions: Each core can be scaled individually Each core has a different frequency-power curve

Progresses System design Initial results on modeling Experimental setup Real system experiment Simulation Initial experimental results CPU Power sensor Temperature sensors Controller Power set point Frequency modulator Per-core frequency level CPU Power Per-core Temperature

System Design: Over Heating Protection Basic idea: using temperature as a constraint. In each control period, the control signal (frequency of each core) should not exceed its safe region. Method: model based prediction.

Initial Results on Modeling Power model Use the same model as a recent paper Temperature model Use similar model described in a previous paper Future work: derive such an linear constraint from a temperature model: We may change these soon Xiaorui Wang, and Ming Chen, "Cluster-level Feedback Power Control for Performance Optimization", the 14th IEEE International Symposium on High-Performance Computer Architecture (HPCA 2008) Kevin Skadron, Tarek F. Abdelzaher, Mircea R. Stan: Control-Theoretic Techniques and Thermal-RC Modeling for Accurate and Localized Dynamic Thermal Management. HPCA 2002 tp: Total power of all cores d: Frequency change M, C: Constant

Optimization Problem Physical frequency Core grouping Power budget Overheating protection Control AccuracyPerformance optimization s.t. Minimize:

Experimental Setup (1) CPU Intel Xeon 5365 Per-core frequency scaling support? Core 0Core 1 Core 2Core 3Power 3G 215.9W 2G3G 215.9W 2G3G 208.5W 2G 3G208.2W 2G 184.0W

Experimental Setup (2) Power monitor: big picture Wu, W., etc A systematic method for functional unit power estimation in microprocessors. In Proceedings of the 43rd Annual Conference on Design Automation Canturk Isci, Margaret Martonosi, Runtime Power Monitoring in High-End Processors: Methodology and Empirical Data, Proceedings of the 36th annual IEEE/ACM International Symposium on Microarchitecture

Experimental Setup (3) Power monitor CPU power supply Current clamp (clamp on meter) Digital multi-meter USBTMC device driver (USB Test & Measurement Class ) Temperature monitor lm-sensors interface Core-temp device driver

Simulation Setup (1) Simulation methodology: SESC+Wattch+CACTI+Orion+HotSpot SESC SESC is a cycle accurate architectural simulator. It models a very wide set of architectures: single processors, CMPs, PIMs, and thread level speculation. To get CPU working status, like how instructions are decoded by instruction decoder, and the working status of branch prediction unit, pipeline, stage, memory access record.

Simulation Setup (2) Watth “WATTCH” is an architectural simulator that estimates CPU power consumption. CACTI CACTI is an integrated cache and memory access time, cycle time, area, leakage, and dynamic power model. Most useful paper is the official technical report released by HPL Orion The most useful paper: Orion A Power-Performance Simulator for Interconnection Networks

Simulation Setup (3) HotSpot HotSpot is an accurate and fast thermal model suitable for use in architectural studies. The most useful papers: 1 A static power model for architects 2 Wattch: A framework for architectural-level power analysis and optimizations

How They Work Together Our approach: use SESC as framework Specifically, wrap Wattch,CACTI, Orion, HotSpot, each one into one function separately,and call these functions in one callback function in SESC thermal class.

How to Scale Processor Base on GaThermAMD and Alpha Floor plan

Initial Experimental Results Ad-hoc controller Keeping CPU frequencies of all cores to be the same If Power > set point frequency-=step; Else frequency+=step; Force_CPU_frequency (frequency);

Who Is Doing What Yefu WangKai Ma Real system set upSimulation set up System design Real system experimentsSimulation experiments Next Step: Theoretical Controller