RTL Processor Synthesis for Architecture Exploration and Implementation Schliebusch, O. Chattopadhyay, A. Leupers, R. Ascheid, G. Meyr, H. Steinert, M.

Slides:



Advertisements
Similar presentations
SOC Design: From System to Transistor
Advertisements

ECOE 560 Design Methodologies and Tools for Software/Hardware Systems Spring 2004 Serdar Taşıran.
CPU Review and Programming Models CT101 – Computing Systems.
Architecture Representation
High Level Languages: A Comparison By Joel Best. 2 Sources The Challenges of Synthesizing Hardware from C-Like Languages  by Stephen A. Edwards High-Level.
Digital Design with VHDL Presented by: Amir Masoud Gharehbaghi
University Of Vaasa Telecommunications Engineering Automation Seminar Signal Generator By Tibebu Sime 13 th December 2011.
Presented by: Thabet Kacem Spring Outline Contributions Introduction Proposed Approach Related Work Reconception of ADLs XTEAM Tool Chain Discussion.
The Concept of Computer Architecture
Formal Methods in Software Engineering Credit Hours: 3+0 By: Qaisar Javaid Assistant Professor Formal Methods in Software Engineering1.
NoC Modeling Networks-on-Chips seminar May, 2008 Anton Lavro.
Architecture Description Languages for Programmable Embedded Systems P. Mishra and N. Dutt IEE Proc.-Comput. Digit. Tech., Vol. 152, No. 3, May 2005 Speaker:
Behavioral Design Outline –Design Specification –Behavioral Design –Behavioral Specification –Hardware Description Languages –Behavioral Simulation –Behavioral.
Platforms, ASIPs and LISATek Federico Angiolini DEIS Università di Bologna.
Source Code Optimization and Profiling of Energy Consumption in Embedded System Simunic, T.; Benini, L.; De Micheli, G.; Hans, M.; Proceedings on The 13th.
Copyright  2006 Daniel D. Gajski 1 Extreme Makeover of System Design Science Daniel Gajski Center for Embedded Computer Systems (CECS) University of California,
1 Rainer Leupers, University of Dortmund, Computer Science Dept. ISSS ´98 HDL-based Modeling of Embedded Processor Behavior for Ret. Compilation Rainer.
Verilog Code Generation for an ASIP Design Environment Nathan Kitchen Vinay Krishnan Mentor: Scott Weber (MESCAL) EE 244/249 Joint Project Fall 2002.
Functional Coverage Driven Test Generation for Validation of Pipelined Processors P. Mishra and N. Dutt Proceedings of the Design, Automation and Test.
Trend towards Embedded Multiprocessors Popular Examples –Network processors (Intel, Motorola, etc.) –Graphics (NVIDIA) –Gaming (IBM, Sony, and Toshiba)
(1) Introduction © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.
1 Presenter: Ming-Shiun Yang Sah, A., Balakrishnan, M., Panda, P.R. Design, Automation & Test in Europe Conference & Exhibition, DATE ‘09. A Generic.
TM Efficient IP Design flow for Low-Power High-Level Synthesis Quick & Accurate Power Analysis and Optimization Flow JAN Asher Berkovitz Yaniv.
EECE **** Embedded System Design
Roza Ghamari Bogazici University April Outline Introduction SystemC Language Formal Verification Techniques for SystemC Design and Verification.
Chap. 1 Overview of Digital Design with Verilog. 2 Overview of Digital Design with Verilog HDL Evolution of computer aided digital circuit design Emergence.
CAD Techniques for IP-Based and System-On-Chip Designs Allen C.-H. Wu Department of Computer Science Tsing Hua University Hsinchu, Taiwan, R.O.C {
ASIC/FPGA design flow. FPGA Design Flow Detailed (RTL) Design Detailed (RTL) Design Ideas (Specifications) Design Ideas (Specifications) Device Programming.
Extreme Makeover for EDA Industry
Presenter : Ching-Hua Huang 2013/7/15 A Unified Methodology for Pre-Silicon Verification and Post-Silicon Validation Citation : 15 Adir, A., Copty, S.
Section 10: Advanced Topics 1 M. Balakrishnan Dept. of Comp. Sci. & Engg. I.I.T. Delhi.
IEEE ICECS 2010 SysPy: Using Python for processor-centric SoC design Evangelos Logaras Elias S. Manolakos {evlog, Department of Informatics.
1 Towards Optimal Custom Instruction Processors Wayne Luk Kubilay Atasu, Rob Dimond and Oskar Mencer Department of Computing Imperial College London HOT.
Chonnam national university VLSI Lab 8.4 Block Integration for Hard Macros The process of integrating the subblocks into the macro.
F. Gharsalli, S. Meftali, F. Rousseau, A.A. Jerraya TIMA laboratory 46 avenue Felix Viallet Grenoble Cedex - France Embedded Memory Wrapper Generation.
MILAN: Technical Overview October 2, 2002 Akos Ledeczi MILAN Workshop Institute for Software Integrated.
Presentation by Tom Hummel OverSoC: A Framework for the Exploration of RTOS for RSoC Platforms.
Evaluating and Improving an OpenMP-based Circuit Design Tool Tim Beatty, Dr. Ken Kent, Dr. Eric Aubanel Faculty of Computer Science University of New Brunswick.
Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Topics Modeling with hardware description languages (HDLs).
Modern VLSI Design 3e: Chapter 8 Copyright  1998, 2002 Prentice Hall PTR Topics n Modeling with hardware description languages (HDLs).
IMPLEMENTATION OF MIPS 64 WITH VERILOG HARDWARE DESIGN LANGUAGE BY PRAMOD MENON CET520 S’03.
Architecture Selection of a Flexible DSP Core Using Re- configurable System Software July 18, 1998 Jong-Yeol Lee Department of Electrical Engineering,
ELEE 4303 Digital II Introduction to Verilog. ELEE 4303 Digital II Learning Objectives Get familiar with background of HDLs Basic concepts of Verilog.
Formal Verification. Background Information Formal verification methods based on theorem proving techniques and model­checking –To prove the absence of.
FPGA-Based System Design Copyright  2004 Prentice Hall PTR Topics n Modeling with hardware description languages (HDLs).
HIGH LEVEL SYNTHESIS WITH AREA CONSTRAINTS FOR FPGA DESIGNS: AN EVOLUTIONARY APPROACH Tesi di Laurea di: Christian Pilato Matr.n Relatore: Prof.
CML Path Selection based Branching for CGRAs ShriHari RajendranRadhika Thesis Committee : Prof. Aviral Shrivastava (Chair) Prof. Jennifer Blain Christen.
Application-Specific Customization of Soft Processor Microarchitecture Peter Yiannacouras J. Gregory Steffan Jonathan Rose University of Toronto Electrical.
POLITECNICO DI MILANO A SystemC-based methodology for the simulation of dynamically reconfigurable embedded systems Dynamic Reconfigurability in Embedded.
Problem: design complexity advances in a pace that far exceeds the pace in which verification technology advances. More accurately: (verification complexity)
Outline System diagram Goal Schedule System Diagram RamDisk Flash Memory HardDisk Interface (Virtualization) Input (Configurations, Trace ) Input.
An Overview CS341 Digital Logic and Computer Organization F2003.
EMT 351/4 DIGITAL IC DESIGN Week # 1 EDA & HDL.
System-on-Chip Design
Programmable Hardware: Hardware or Software?
Andreas Hoffmann Andreas Ropers Tim Kogel Stefan Pees Prof
ASIC Design Methodology
Evaluating Register File Size
Topics Modeling with hardware description languages (HDLs).
APPLICATION OF DESIGN PATTERNS FOR HARDWARE DESIGN
Modeling and Simulation Issues of Programmable Architectures
IP – Based Design Methodology
Topics Modeling with hardware description languages (HDLs).
Unified Model Generation for FPGA-based LHCb VeLo Algorithms
332:437 Lecture 7 Verilog Hardware Description Language Basics
ECE-C662 Introduction to Behavioral Synthesis Knapp Text Ch
332:437 Lecture 7 Verilog Hardware Description Language Basics
HIGH LEVEL SYNTHESIS.
332:437 Lecture 7 Verilog Hardware Description Language Basics
Presented By: Darlene Banta
Presentation transcript:

RTL Processor Synthesis for Architecture Exploration and Implementation Schliebusch, O. Chattopadhyay, A. Leupers, R. Ascheid, G. Meyr, H. Steinert, M. Braun, G. Nohl, A. Integrated Signal Process. Syst., Aachen Univ. of Technol., Germany Design, Automation and Test in Europe Conference and Exhibition 2004, pp

2/20 Abstract  Architecture description languages are widely used to perform architecture exploration for application-driven designs, whereas the RT-level is the commonly accepted level for hardware implementation. For this reason, design parameters such as timing, area or power consumption cannot be taken into consideration accurately during design space exploration. Design automation tools currently used to bridge this gap are either limited in the flexibility provided or only generate fragments of the architecture.

3/20 Abstract (cont.)  This paper presents a synthesis tool which preserves the full flexibility of the architecture description language LISA, while being able to generate the complete architecture on RT- level using systemC. This paper also presents two real world architecture case studies to prove the feasibility of our approach.

4/20 What’s the problem?  Architecture Description Languages (ADLs) often ignoring physical parameters in the design leads to suboptimal solutions or long redesign cycles  high level abstraction and physical parameter evaluation are both necessary

5/20 Related Work  MIMOLA,FlexWare  too close to the RTL  ISDL,nML  each function unit one type exactly  PEAS-III,ASIP-Meister,Xtensa,PICO  Predefined elements or architectures

6/20 LISA module  Exploration and implementation based on LISA (Language for Instruction-Set Architectures)

7/20 LISA module (cont.)  LISA module and correspondent HDL model component

8/20 The HDL Synthesis Framework  Explicit Hardware Description  Implicit Hardware Description  Non-formalized Hardware Description

9/20 Explicit Hardware Description  Explicit type of hardware description results from language elements  with a well defined semantics  define the underlying hardware  without ambiguity  RTL can be generated directly

10/20 Explicit Hardware Description (cont.)  Example of Explicit Hardware Description

11/20 Implicit Hardware Description  Implicit type of hardware description results from language elements  not self-explanatory  semantics is more general  deeper analysis of the complete is needed  additional info needs to be taken into account  Info may be from simulation scheduler

12/20 Implicit Hardware Description (cont.)  Example of Implicit Hardware Description

13/20 Non-formalized Hardware Description  The BEHAVIOR section can be considered as non-formalize description, enrich by LISA language elements  contain mainly plain ANSI-C code  the SystemC is used to generate RTL representation of the architecture

14/20 Non-formalized Hardware Description (cont.)  Transformation of resources

15/20 Experiments and Results  The LEON Architecture  the integer pipeline and memory configuration of the LEON were modelled in LISA  The automatically generated SystemC model and the reference model are synthesized with the Synopsys design compiler  The LISA model as well as the generated SystemC model have been verified with the microSparc Validation suite

16/20 Experiments and Results (cont.)  The Infineon Technologies ASMD  Application-Specific Multi-rate DSP

17/20 Conclusion  presented a methodology to fully generate a synthesizable RT-level hardware description from LISA  the gap can be bridged using the SystemC  the complete architecture can be generated from a single specification, without losing the flexibility  add an analysis and optimization step in the future work