Jack Ou, Ph.D. CES522 Engineering Science Sonoma State University

Slides:



Advertisements
Similar presentations
Digital System Design-II (CSEB312)
Advertisements

Tutorial 2 Sequential Logic. Registers A register is basically a D Flip-Flop A D Flip Flop has 3 basic ports. D, Q, and Clock.
Dependence Precedence. Precedence & Dependence Can we execute a 1000 line program with 1000 processors in one step? What are the issues to deal with in.
CSCI 660 EEGN-CSCI 660 Introduction to VLSI Design Lecture 7 Khurram Kazi.
Synchronous Sequential Logic
EE 361 Fall 2003University of Hawaii1 Hardware Design Tips EE 361 University of Hawaii.
Register Transfer Level
Give qualifications of instructors: DAP
Digital System Design Verilog ® HDL Behavioral Modeling (3) Maziar Goudarzi.
Computer Science 210 Computer Organization Clocks and Memory Elements.
1 COMP541 Sequential Circuits Montek Singh Sep 17, 2014.
Lecture 12 Latches Section Schedule 3/10MondayLatches (1) /12WednesdayFlip-flops5.4 3/13ThursdayFlip-flops, D-latch 3/17MondaySpring.
Lecture 12 Latches Section , Block Diagram of Sequential Circuit gates New output is dependent on the inputs and the preceding values.
Specifies combinational logic (unclocked) always stmt. should use “=“ (called “blocking” assignment) in comb. logic always statements. RHS just takes output.
7-Segment LED Display DD: Section Mano: Section 3.10.
CS 151 Digital Systems Design Lecture 37 Register Transfer Level
OUTLINE Introduction Basics of the Verilog Language Gate-level modeling Data-flow modeling Behavioral modeling Task and function.
Verilog Sequential Circuits Ibrahim Korpeoglu. Verilog can be used to describe storage elements and sequential circuits as well. So far continuous assignment.
Verilog HDL (Behavioral Modeling) Bilal Saqib. Behavioral Modeling.
ENEE 408C Lab Capstone Project: Digital System Design Fall 2005 Sequential Circuit Design.
Advanced Verilog EECS 270 v10/23/06.
Verilog Intro: Part 2. Procedural Blocks There are two types of procedural blocks in Verilog. – initial for single-pass behavior : initial blocks execute.
9/15/09 - L25 Registers & Load Enable Copyright Joanne DeGroat, ECE, OSU1 Registers & Load Enable.
A State Element “Zoo”.
Overview Logistics Last lecture Today HW5 due today
Advanced FPGA Based System Design Lecture-9 & 10 VHDL Sequential Code By: Dr Imtiaz Hussain 1.
Lecture 14 Flip-Flops Section Schedule 3/24MondayAnalysis of clocked sequential circuit (1),5.5 3/26WednesdayAnalysis of clocked sequential circuit.
Verilog Basics Nattha Jindapetch November Agenda Logic design review Verilog HDL basics LABs.
Verilog Intro: Part 2. Procedural Blocks There are two types of procedural blocks in Verilog. – initial for single-pass behavior: initial blocks execute.
ECE 2372 Modern Digital System Design
Verilog HDL: A solution for Everybody By, Anil Kumar Ram Rakhyani
Array Synthesis in SystemC Hardware Compilation Authors: J. Ditmar and S. McKeever Oxford University Computing Laboratory, UK Conference: Field Programmable.
1 Workshop Topics - Outline Workshop 1 - Introduction Workshop 2 - module instantiation Workshop 3 - Lexical conventions Workshop 4 - Value Logic System.
1 Workshop Topics - Outline Workshop 1 - Introduction Workshop 2 - module instantiation Workshop 3 - Lexical conventions Workshop 4 - Value Logic System.
ECE/CS 352 Digital System Fundamentals© 2001 C. Kime 1 ECE/CS 352 Digital Systems Fundamentals Spring 2001 Chapters 3 and 4: Verilog – Part 2 Charles R.
Module 1.2 Introduction to Verilog
3/4/20031 ECE 551: Digital System Design * & Synthesis Lecture Set 3 3.1: Verilog - User-Defined Primitives (UDPs) (In separate file) 3.2: Verilog – Operators,
Behavioral Modelling - 1. Verilog Behavioral Modelling Behavioral Models represent functionality of the digital hardware. It describes how the circuit.
Why segregate blocking and non-blocking assignments to separate always blocks? always blocks start when triggered and scan their statements sequentially.
Algorithmic state machines
VHDL – Behavioral Modeling and Registered Elements ENGIN 341 – Advanced Digital Design University of Massachusetts Boston Department of Engineering Dr.
COMP541 Sequential Circuits
Latches and Flip-Flops
LECTURE V TEST BENCHES. As your projects become more complex and multiple modules are employed, it will no longer be possible to simulate them as we did.
SYEN 3330 Digital SystemsJung H. Kim Chapter SYEN 3330 Digital Systems Chapters 4 – Part4: Verilog – Part 2.
1 COMP541 Sequential Circuits Montek Singh Feb 24, 2016.
COE 202 Introduction to Verilog Computer Engineering Department College of Computer Sciences and Engineering King Fahd University of Petroleum and Minerals.
Full Adder Verilog(HO: wires/regs, always) Section 4.5 (Full adder)
1 Lecture 3: Modeling Sequential Logic in Verilog HDL.
TOPIC : SEQUENTIAL AND PARALLEL BLOCKS Module 2.3 : Behavioral modeling in verilog.
Overview Logistics Last lecture Today HW5 due today
Computer Science 210 Computer Organization
Supplement on Verilog FF circuit examples
Reg and Wire:.
Verilog Introduction Fall
‘if-else’ & ‘case’ Statements
Supplement on Verilog Sequential circuit examples: FSM
Digital System Design Verilog ® HDL Scheduling Semantics Maziar Goudarzi.
TODAY’S OUTLINE Procedural Assignments Verilog Coding Guidelines
Computer Science 210 Computer Organization
Hardware Acceleration of the Lifting Based DWT
Computer Science 210 Computer Organization
FSM MODELING MOORE FSM MELAY FSM. Introduction to DIGITAL CIRCUITS MODELING & VERIFICATION using VERILOG [Part-2]
332:437 Lecture 8 Verilog and Finite State Machines
COE 202 Introduction to Verilog
Supplement on Verilog Sequential circuit examples: FSM
KU College of Engineering Elec 204: Digital Systems Design
Division and Modulo 15 Q A = Dividend B = Divisor Q = Quotient = A/B
332:437 Lecture 8 Verilog and Finite State Machines
COE 202 Introduction to Verilog
Presentation transcript:

Jack Ou, Ph.D. CES522 Engineering Science Sonoma State University Verilog Tutorial 3 Jack Ou, Ph.D. CES522 Engineering Science Sonoma State University

Outline Motivation Blocking Assignments Non-Blocking Assignments

Shift Register Using Blocking Assignments

Test Bench for the Shift Register Clock cycle: 10 intervals Input (E) changes state Every 40 intervals

Output E is updated every 40 cycles A is updated 30 intervals after E

A=Delayed E

Reverse Update Algorithm

= The assignment operator (=) causes statements to be executed in the listed order, with the storing of value occurring immediately after any statement can executed and before the next statement. Order matter!!! = is used with blocked statements.

<= Nonblocking assignments are made with the nonblocking assignment operator (<=)instead of the assignment operator. Nonblocking assignment statements effectively execute concurrently ( in parallel) rather than sequentially, so the order in which they are listed has no effect.

Shift Register using <=