Nak Hee Seong Sungkap Yeo Hsien-Hsin S. Lee

Slides:



Advertisements
Similar presentations
Tuning of Loop Cache Architectures to Programs in Embedded System Design Susan Cotterell and Frank Vahid Department of Computer Science and Engineering.
Advertisements

Handling Resistance Drift in Phase Change Memory - Device, Circuit, Architecture, and System Solutions Manu Awasthi, Manjunath Shevgoor, Kshitij Sudan,
Thank you for your introduction.
LEVERAGING ACCESS LOCALITY FOR THE EFFICIENT USE OF MULTIBIT ERROR-CORRECTING CODES IN L2 CACHE By Hongbin Sun, Nanning Zheng, and Tong Zhang Joseph Schneider.
1 Lecture 6: Chipkill, PCM Topics: error correction, PCM basics, PCM writes and errors.
MEMS Based Mass Storage Systems. What is MEMS? (M)icro(E)lectric(M)echanical(S)ystems Consist of mech µ(structures, sensors, actuators), electronics,
Data Mapping for Higher Performance and Energy Efficiency in Multi-Level Phase Change Memory HanBin Yoon*, Naveen Muralimanohar ǂ, Justin Meza*, Onur Mutlu*,
SAFER: Stuck-At-Fault Error Recovery for Memories Nak Hee Seong † Dong Hyuk Woo † Vijayalakshmi Srinivasan ‡ Jude A. Rivers ‡ Hsien-Hsin S. Lee † ‡†
Reducing Read Latency of Phase Change Memory via Early Read and Turbo Read Feb 9 th 2015 HPCA-21 San Francisco, USA Prashant Nair - Georgia Tech Chiachen.
Moinuddin K. Qureshi ECE, Georgia Tech
Efficient Bit Allocation and CTU level Rate Control for HEVC Picture Coding Symposium, 2013, IEEE Junjun Si, Siwei Ma, Wen Gao Insitute of Digital Media,
11/29/2004EE 42 fall 2004 lecture 371 Lecture #37: Memory Last lecture: –Transmission line equations –Reflections and termination –High frequency measurements.
© Prof. Dr.-Ing. Wolfgang Lehner | Resiliency-Aware Data Management Matthias Boehm 1 Wolfgang Lehner 1 Christof Fetzer 2 TU Dresden 1 Database Technology.
Susmit Biswas A Pageable Defect Tolerant Nanoscale Memory System Susmit Biswas, Tzvetan S. Metodi, Frederic T. Chong, Ryan Kastner
Unreliable Silicon: Myth or Reality? Shubu Mukherjee Principal Engineer Director, SPEARS Group (SPEARS = Simulation & Pathfinding of Efficient And Reliable.
CAFO: Cost Aware Flip Optimization for Asymmetric Memories RAKAN MADDAH *, SEYED MOHAMMAD SEYEDZADEH AND RAMI MELHEM COMPUTER SCIENCE DEPARTMENT UNIVERSITY.
Justin Meza Qiang Wu Sanjeev Kumar Onur Mutlu Revisiting Memory Errors in Large-Scale Production Data Centers Analysis and Modeling of New Trends from.
1 Lecture 14: DRAM, PCM Today: DRAM scheduling, reliability, PCM Class projects.
1 Enhancing Random Access Scan for Soft Error Tolerance Fan Wang* Vishwani D. Agrawal Department of Electrical and Computer Engineering, Auburn University,
Due to the economic downturn, Microsoft Research has eliminated all funding for title slides. We sincerely apologize for any impact these austerity measures.
Yu Cai1, Erich F. Haratsch2 , Onur Mutlu1 and Ken Mai1
1 Route Table Partitioning and Load Balancing for Parallel Searching with TCAMs Department of Computer Science and Information Engineering National Cheng.
Ovonic Unified Memory.
Sequential Soft Decision Decoding of Reed Solomon Codes Hari Palaiyanur Cornell University Prof. John Komo Clemson University 2003 SURE Program.
Storage Class Memory Architecture for Energy Efficient Data Centers Bruce Childers, Sangyeun Cho, Rami Melhem, Daniel Mossé, Jun Yang, Youtao Zhang Computer.
© 2007 IBM Corporation HPCA – 2010 Improving Read Performance of PCM via Write Cancellation and Write Pausing Moinuddin Qureshi Michele Franceschini and.
Reducing Refresh Power in Mobile Devices with Morphable ECC
NOTE: To change the image on this slide, select the picture and delete it. Then click the Pictures icon in the placeholder to insert your own image. NON.
Copyright © 2008 UCI ACES Laboratory Kyoungwoo Lee 1, Aviral Shrivastava 2, Nikil Dutt 1, and Nalini Venkatasubramanian 1.
Dong Hyuk Woo Nak Hee Seong Hsien-Hsin S. Lee
WMPI 2006, Austin, Texas © 2006 John C. Koob An Empirical Evaluation of Semiconductor File Memory as a Disk Cache John C. Koob Duncan G. Elliott Bruce.
2010 IEEE ICECS - Athens, Greece, December1 Using Flash memories as SIMO channels for extending the lifetime of Solid-State Drives Maria Varsamou.
Energy-Efficient Cache Design Using Variable-Strength Error-Correcting Codes Alaa R. Alameldeen, Ilya Wagner, Zeshan Chishti, Wei Wu,
Lecture 16: Storage and I/O EEN 312: Processors: Hardware, Software, and Interfacing Department of Electrical and Computer Engineering Spring 2014, Dr.
Designing a Fast and Reliable Memory with Memristor Technology
2013/01/14 Yun-Chung Yang Energy-Efficient Trace Reuse Cache for Embedded Processors Yi-Ying Tsai and Chung-Ho Chen 2010 IEEE Transactions On Very Large.
Self-* Systems CSE 598B Paper title: Dynamic ECC tuning for caches Presented by: Niranjan Soundararajan.
RDIS: A Recursively Defined Invertible Set Scheme to Tolerate Multiple Stuck-At Faults in Resistive Memory Rami Melhem, Rakan Maddah and Sangyeun cho Computer.
Embedded System Lab. Jung Young Jin The Design and Implementation of a Log-Structured File System D. Ma, J. Feng, and G. Li. LazyFTL:
Optimization of PHEV/EV Battery Charging Lawrence Wang CURENT YSP Presentations RM :00-11:25 1.
Embedded System Lab. Daeyeon Son Neighbor-Cell Assisted Error Correction for MLC NAND Flash Memories Yu Cai 1, Gulay Yalcin 2, Onur Mutlu 1, Erich F. Haratsch.
Error Correction and Partial Information Rewriting for Flash Memories Yue Li joint work with Anxiao (Andrew) Jiang and Jehoshua Bruck.
WMPI 2006, Austin, Texas © 2006 John C. Koob An Empirical Evaluation of Semiconductor File Memory as a Disk Cache John C. Koob Duncan G. Elliott Bruce.
Yun-Chung Yang TRB: Tag Replication Buffer for Enhancing the Reliability of the Cache Tag Array Shuai Wang; Jie Hu; Ziavras S.G; Dept. of Electr. & Comput.
This project has received funding from the European Union's Seventh Framework Programme for research, technological development.
Carnegie Mellon University, *Seagate Technology
International Symposium on Low Power Electronics and Design A Hybrid Display Frame Buffer Architecture for Energy-Efficient Display Subsystem Kyungtae.
In-Place Decomposition for Robustness in FPGA Ju-Yueh Lee, Zhe Feng, and Lei He Electrical Engineering Dept., UCLA Presented by Ju-Yueh Lee Address comments.
OVONIC UNIFIED MEMORY Submitted by Submitted by Kirthi K Raman Kirthi K Raman 4PA06EC044 4PA06EC044 Under the guidance of Under the guidance of Prof. John.
Data Retention in MLC NAND FLASH Memory: Characterization, Optimization, and Recovery. 서동화
Yue Li joint work with Anxiao (Andrew) Jiang and Jehoshua Bruck.
Efficient Scrub Mechanisms for Error-Prone Emerging Memories Manu Awasthi ǂ, Manjunath Shevgoor⁺, Kshitij Sudan⁺, Rajeev Balasubramonian⁺, Bipin Rajendran.
33 rd IEEE International Conference on Computer Design ICCD rd IEEE International Conference on Computer Design ICCD 2015 Improving Memristor Memory.
Coding and Algorithms for Memories Lecture 7 1.
Carnegie Mellon University, *Seagate Technology
Signal Encoding Techniques. Digital Data, Digital Signal  Digital signal discrete, discontinuous voltage pulses discrete, discontinuous voltage pulses.
Chapter 3 Data Representation
Rakan Maddah1, Sangyeun2,1 Cho and Rami Melhem1
Seyed Mohammad Seyedzadeh, Rakan Maddah, Alex Jones, Rami Melhem
DuraCache: A Durable SSD cache Using MLC NAND Flash Ren-Shuo Liu, Chia-Lin Yang, Cheng-Hsuan Li, Geng-You Chen IEEE Design Automation Conference.
Scalable High Performance Main Memory System Using PCM Technology
BIC 10503: COMPUTER ARCHITECTURE
Lecture 6: Reliability, PCM
MEMCON: Detecting and Mitigating Data-Dependent Failures by Exploiting Current Memory Content Samira Khan, Chris Wilkerson, Zhe Wang, Alaa Alameldeen,
Use ECP, not ECC, for hard failures in resistive memories
A Small and Fast IP Forwarding Table Using Hashing
Samira Khan University of Virginia Mar 27, 2019
RAIDR: Retention-Aware Intelligent DRAM Refresh
ELEC-E Smart Grid Battery Energy Storage Systems
Architecting Phase Change Memory as a Scalable DRAM Alternative
Presentation transcript:

Tri-Level-Cell Phase Change Memory (PCM): Toward an Efficient and Reliable Memory System Nak Hee Seong Sungkap Yeo Hsien-Hsin S. Lee School of Electrical and Computer Engineering Georgia Institute of Technology Atlanta, GA 30332 nakhee.seong@gmail.com {sungkap, leehs}@gatech.edu Presented By: Anand Dhole Shalini Satre

Contents PCM Background and Motivation Tri-Level-Cell (3LC) PCM 3LC PCM in Practice Evaluation Conclusion

PCM Background and Motivation Tri-Level-Cell (3LC) PCM 3LC PCM in Practice Evaluation Conclusion

Phase Change Memory (PCM) Promising alternative memory technology Two states Crystalline (SET) Amorphous (RESET) Multi-level-cell PCM Intermediate states Store more data per cell

Single Level Cell (SLC) [1] Set Reset High resistivity Low resistivity

SLC vs MLC Two Storage Levels Four Storage Levels 002 012 1 102 112 1 002 012 102 112 2LC or SLC = one bit per cell 4LC = two bits per cell

SLC PCM SET RESET i i t t # of Cells 103  106  103 Difference

MLC PCM  # of Cells 1k  1M i i t i t t Storage Level 0 Level 1 SET RESET i i t i t t # of Cells Storage Level 0 Level 1 Level 2 Level 3 1k  1M 

Error Model Critical problems Resistance Drift Soft errors Resistance of PCM cell increases over time Soft errors Not permanent failure Have solutions to resolve Soft error caused by resistance drift Error rate is proportional to initial resistance value Error rate is negligible in SLC PCM In MLC PCM, resistance drift at intermediate levels Iterative-writing mechanism Degrades write latency For 4LC, 4x~8x slower than that of SLC [1]

Programmed Boundaries Resistance Drift [1] T = 1 # of Cells SET RESET Storage Level 0 Level 1 Level 2 Level 3  Decision Boundaries Programmed Boundaries

Resistance Drift T = 2  # of Cells Storage Level 0 Level 1 Level 2 SET RESET Storage Level 0 Level 1 Level 2 Level 3 

Resistance Drift T = 4  # of Cells Storage Level 0 Level 1 Level 2 SET RESET Storage Level 0 Level 1 Level 2 Level 3 

Drift-induced Soft Errors!!! Resistance Drift T = 8 # of Cells SET RESET Storage Level 0 Level 1 Level 2 Level 3  Drift-induced Soft Errors!!!

Drifted Resistance Power Law Equation

Proposed Solution Proposed tri-level-cell PCM Soft error rate matches that of DRAM Gain performance of SLC PCM

PCM Background and Motivation Tri-Level-Cell (3LC) PCM 3LC PCM in Practice Evaluation Conclusion

Background and Motivation Flash Memory w.r.t. PCM Switching mem. ele. requires more voltage & time. Degrades more rapidly More susceptible to radiation PCM w.r.t NAND Better read/write latency. Consumes significantly less read/write energy. PCM Advantages Higher information density. Cheaper when in mass production.

Background and Motivation cont… MLC PCM Many intermediate states between SET and RESET E.g. 8LC PCM stores three bits per cell Soft error rate(SER) is higher than that of DRAM SER increases over time along with resistance Error correction Methods Time-aware error correction scheme Scrub mechanism

Background and Motivation cont… Time-aware error correction scheme [3] Uses extra cells for storing predefined reference resistance values While reading, reference values are used to compensate the resistance drift in corresponding cell. Reduced SER from 10-3 ~ 10-1 to 10-4 ~ 10-2

Background and Motivation cont… Scrub Mechanism [2] Reduced 99.6% of uncorrectable errors Memory controller spend more time in scrubbing DRAM-style self refresh [3] Cells with correct information also gets refreshed Higher chip-level power Frequent write decreases lifespan Slower responsiveness

PCM Background and Motivation Tri-Level-Cell (3LC) PCM 3LC PCM in Practice Evaluation Conclusion

3LC PCM Each cell has three storage levels Removed most error-prone state from 4LC PCM i.e. Third storage level Drift is proportional to resistance Removes errors generated by third as well as most of the errors generated by second storage level

3LC PCM ≠ three bits per cell Binary System Ternary System Two Storage Levels 1 Three Storage Levels 03 13 23 2LC or SLC = one bit per cell Four Storage Levels 3LC 002 012 102 112 ~ 1.5 bits per cell ≠ three bits per cell 4LC = two bits per cell Binary System Ternary System

PCM Background and Motivation Tri-Level-Cell (3LC) PCM 3LC PCM in Practice Evaluation Conclusion

3LC PCM 4-level cell PCM Tri-level cell PCM unreliable Removing the most error-prone state i i i t t t L2 L0 L1

Bandwidth Expanded 3LC PCM Relaxing programming range Reducing programming latency Increasing write bandwidth SET RESET i i t i t i or t t # of Cells L1 L1 L2 L0

Configuration variable of 4LC PCM Storage Levels Data Log10 R α µR ϭR µα ϭα 01 3.0 1/6 0.001 0.4 x µα 1 11 4.0 0.02 2 10 5.0 0.06 3 00 6.0 0.10 Configuration variable of 3LC PCM Storage Levels Log10 R α µR ϭR µα ϭα 3.0 1/6 0.001 0.4 x µα 1 4.0 0.02 2 6.0 0.10

Efficient Conversion Method [1] In theory 11 bits of binary = 2048 states 7 ternary cells = 2187 states ~94% utilization Proposed approach 3 bits of binary = 8 states 2 ternary cells = 9 states ~89% utilization Notation: <3,2> conversion

Number Mapping Method Binary Ternary 00 000 01 10 001 010 100 02 11 20 011 101 110 12 21 111 22 Binary Ternary

ECC for Tri-Level-Cell PCM Single Bit Error Single Bit Error Binary Ternary Legacy ECC for binary can be used Simple (72, 64) Hamming Code Memory controller requires minimal change

PCM Background and Motivation Tri-Level-Cell (3LC) PCM 3LC PCM in Practice Evaluation Conclusion

Drift Induced Error Rate Elapsed Time (s) 3LC PCM BE-3LC PCM BE-3LC PCM + (72,64) ECC 215 (9 hours) (too small) 220 (12 days) 3.60E-16% 225 (1 year) 1.28E-10% 2.66E-15%

Information Density Bits Per Cell Number of Correctable Bits Data block size- 256 bits Bits Per Cell Number of Correctable Bits

PCM Background and Motivation Tri-Level-Cell (3LC) PCM 3LC PCM in Practice Evaluation Conclusion

Conclusion [1] Results (over 4LC PCM) 105 lower soft error rates 36.4% performance improvement Results (over SLC PCM) 1.33x higher information density

References Nak Hee Seong, Sungkap Yeo, Hsien-Hsin S. Lee, "Tri-Level-Cell Phase Change Memory: Toward an Efficient and Reliable Memory System",ISCA'13 M. Awasthi, M. Shevgoor, K. Sudan, B. Rajendran, R. Balasubramonian, and V. Srinivasan, “Efficient Scrub Mechanisms for Error-Prone Emerging Memories,” in Proceedings of the International Symposium on High Performance Computer Architecture, 2012.vol. 19, no. 8, pp. 1357–1367, 2011 W. Xu and T. Zhang, “A time-aware fault tolerance scheme to improve reliability of multilevel phase-change memory in the presence of significant resistance drift,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 19, no. 8, pp. 1357– 1367, 2011. T. Nirschl, J. Phipp, T. Happ, G. Burr, B. Rajendran, M. Lee, A. Schrott, M. Yang, M. Breitwisch, C. Chen et al., “Write strategies for 2 and 4-bit multi-level phase-change memory,” in IEEE International Electron Devices Meeting (IEDM), 2007, pp. 461– 464. N. Papandreou, H. Pozidis, T. Mittelholzer, G. Close, M. Breitwisch, C. Lam, and E. Eleftheriou, “Drift-tolerant multilevel phase-change memory,” in 2011 3rd IEEE International Memory Workshop (IMW). IEEE, pp. 1–4. R. Hamming, “Error detecting and error correcting codes,” Bell System Technical Journal, vol. 29, no. 2, pp. 147–160, 1950.