An Error-Correcting Line Code for a HEP Rad-Hard Multi-GigaBit Optical Link Giulia Papotti CERN (European Organization for Nuclear Research) Universita’

Slides:



Advertisements
Similar presentations
Spread Spectrum Chapter 7. Spread Spectrum Input is fed into a channel encoder Produces analog signal with narrow bandwidth Signal is further modulated.
Advertisements

Synchronous Optical Networks (SONET)
Note: is very restrictive Would like more flexibility in determining the length If we shorten the length of the message.
CHANNEL CODING REED SOLOMON CODES.
Data Communications and Networking
1 Wireless Sensor Networks Akyildiz/Vuran Administration Issues  Take home Mid-term Exam  Assign April 2, Due April 7  Individual work is required 
E-link IP for FE ASICs VFAT3/GdSP ASIC design meeting 19/07/2011.
Synchronous Optical Networks SONET Advanced Computer Networks.
Synchronous Optical Networks (SONET) Advanced Computer Networks.
Embedded Systems Laboratory Informatics Institute Federal University of Rio Grande do Sul Porto Alegre – RS – Brazil SRC TechCon 2005 Portland, Oregon,
Follow-up meeting of the Administrative Agreement between FCT and CERN Vicente Leitão, Pedro (PH/ESE-ME, joined in May 2013) Supervisor: Moreira, Paulo.
Synchronous Optical Networks SONET
1 EE365 More on sequential circuits. 2 Serial data systems (e.g., TPC)
LECTURE 5 CT1303 LAN. DATA ENCODING Digital signal: is a sequence of discrete, discontinuous voltage pulses. Each pulse is a signal element Binary data.
A radiation-tolerant LDO voltage regulator for HEP applications F.Faccio, P.Moreira, A.Marchioro, S.Velitchko CERN.
FF-LYNX R. Castaldi, G. Magazzù, P. G. Verdini INFN – Sezione di Pisa G. Bianchi, L. Fanucci, S. Saponara, C. Tongiani Dipartimento di Ingegneria della.
A Serializer ASIC for High Speed Data Transmission in Cryogenic and HiRel Environment Tiankuan Liu On behalf of the ATLAS Liquid Argon Calorimeter Group.
Winter 2008CS244a Handout 121 CS244a: An Introduction to Computer Networks Handout 12: Physical Layer Sending 1’s and 0’s, Capacity and Clocking Nick McKeown.
CS 640: Introduction to Computer Networks Aditya Akella Lecture 5 - Encoding and Data Link Basics.
EE 3220: Digital Communication Dr. Hassan Yousif Ahmed Department of Electrical Engineering College of Engineering at Wadi Aldwasser Slman bin Abdulaziz.
Audio Compression Usha Sree CMSC 691M 10/12/04. Motivation Efficient Storage Streaming Interactive Multimedia Applications.
P. Jansweijer Nikhef Amsterdam Electronics- Technology October 15, 20091VLVnT-09 Athens Measuring propagation delay over a coded serial communication channel.
Data and Computer Communications
Computer Architecture Lecture 30 Fasih ur Rehman.
The GBT A single link for Timing, Trigger, Slow Control and DAQ in experiments A. Marchioro CERN/PH-MIC.
Multi Level, Multi Transition & Block Codes
September 8-14, th Workshop on Electronics for LHC1 Channel Control ASIC for the CMS Hadron Calorimeter Front End Readout Module Ray Yarema, Alan.
A.A. Grillo SCIPP-UCSC ATLAS 10-Nov Thoughts on Data Transmission US-ATLAS Upgrade R&D Meeting UCSC 10-Nov-2005 A. A. Grillo SCIPP – UCSC.
FF-LYNX (*): Fast and Flexible protocols and interfaces for data transmission and distribution of clock, trigger and control signals (*) project funded.
23 February 2004 Christos Zamantzas 1 LHC Beam Loss Monitor Design Considerations: Digital Parts at the Tunnel Internal Review.
GBT, an integrated solution for data transmission and TTC distribution in the SLHC Perugia, 17 May 2006 A. Marchioro, P. Moreira, G. Papotti CERN PH-MIC.
High-Speed Serial Optical Link Test Bench Using FPGA with Embedded Transceivers Serial optical data transmission provides a solution to High Energy Physics.
Evaluation of Multi-Gbps Optical Transceivers for Use in Future HEP Experiments Luis Amaral CERN – PH/ESE/BE – Opto 16/09/2008.
ECE 4710: Lecture #13 1 Bit Synchronization  Synchronization signals are clock-like signals necessary in Rx (or repeater) for detection (or regeneration)
LHCb front-end electronics and its interface to the DAQ.
The GBT, a Proposed Architecture for Multi-Gb/s Data Transmission in High Energy Physics P. Moreira CERN – Geneva, Switzerland Topic Workshop on Electronics.
Guido Haefeli CHIPP Workshop on Detector R&D Geneva, June 2008 R&D at LPHE/EPFL: SiPM and DAQ electronics.
1 FEC framing and delineation Frank Effenberger Huawei Technologies, US Dec. 5, 2006.
CERN, 18 december 2003Coincidence Matrix ASIC PRR Coincidence ASIC modifications E.Petrolo, R.Vari, S.Veneziano INFN-Rome.
A Survey on Interlaken Protocol for Network Applications Department of Computer Science and Information Engineering, National Cheng Kung University, Tainan,
Unit 1 Lecture 4.
Level-1 Data Driver Card (L1DDC) HEP May 2014 Naxos 08/05/2014HEP 2014, NAXOS Panagiotis Gkountoumis National Technical University of Athens.
A high speed serializer ASIC for ATLAS Liquid Argon calorimeter upgrade Tiankuan Liu On behalf of the ATLAS Liquid Argon Calorimeter Group Department of.
1 Product Codes An extension of the concept of parity to a large number of words of data 0110… … … … … … …101.
Building A Network: Cost Effective Resource Sharing
J. Ye SMU Joint ATLAS-CMS Opto-electronics working group, April 10-11, 2008 CERN 1 Test Results on LOC1 and Design considerations for LOC2 LOC1 test results:
Residential Audio & Video Systems Copyright © 2005 Heathkit Company, Inc. All Rights Reserved Presentation 18 – Digital Television (DTV) – Part 2.
FEC framing and delineation Frank Effenberger Huawei Technologies, US Dec. 5, 2006.
GBT protocol implementation on Xilinx FPGAs Csaba SOOS PH-ESE-BE-OT.
Diana B. Llacza Sosaya Digital Communications Chosun University
28/04/2017 Forward Error Correcting (FEC) coding for SuperB serial links: a preliminary study Sergio Cavaliere Department of Physics, University of Napoli.
Status and Plans for Xilinx Development
Recovered-clock jitter analysis for Super B A. Aloisio, R. Giordano INFN and University of Naples ‘Federico II’
The Data Handling Hybrid Igor Konorov TUM Physics Department E18.
CSE 320 Data Communications
Implementing the GBT data transmission protocol in FPGAs
Some thoughs about trigger/DAQ … Dominique Breton (C.Beigbeder, G.Dubois-Felsmann, S.Luitz) SuperB meeting – La Biodola – June 2008.
Multiplexing.
Use of FPGA for dataflow Filippo Costa ALICE O2 CERN
Beam Wire Scanner (BWS) serial link requirements and architecture
Error Correcting Codes for Serial links : an update
Digital readout architecture for Velopix
Christophe Beigbeder PID meeting
FF-LYNX (*): Fast and Flexible Electrical Links for Data Acquisition and Distribution of timing, trigger and control signals in future High Energy Physics.
Phase shifter design for Macro Pixel ASIC
Impact of Serializer/Deserializer Architecture on ETD High-Speed Links
Design of a ‘Single Event Effect’ Mitigation Technique for Reconfigurable Architectures SAJID BALOCH Prof. Dr. T. Arslan1,2 Dr.Adrian Stoica3.
Building A Network: Cost Effective Resource Sharing
The LHCb Front-end Electronics System Status and Future Development
Fixed Latency Serial Links with FPGA-embedded SerDes for SuperB
Presentation transcript:

An Error-Correcting Line Code for a HEP Rad-Hard Multi-GigaBit Optical Link Giulia Papotti CERN (European Organization for Nuclear Research) Universita’ degli Studi di Parma 12 th Workshop on Electronics for LHC and Future Experiments September 2006, Valencia

Outline motivation –TTC and GBT coding requirements for optical links –radiation environments line code architecture –main building blocks –two options properties of the presented line code –error correction capability –implementation complexity –DC-wander demonstrator ASIC implementation

TTC system distribution at the LHC of: –Timing: LHC clock need clean clock –L1 Trigger need low latency only 1 bit information –“slow” Control 1 bit per LHC clock, to build longer frames –total: 2 bit per bunch crossing = 80Mb/s

Gigabit Bidirectional Transceiver upgrade of the TTC link for SLHC still need low latency and precise timing –bidirectional –broadcast and point-to-point –recent tech allows higher line speed more bits per bunch crossing –more than only 1 bit for L1T –slow control can now be “fast” –protect trigger information with EC schemes

Line code requirements sufficient timing information for clock recovery (bit lock) –~20ps jitter required dc-free line bit stream for AC coupling –statistically same number of 1s and 0s on the line frame synchronization (frame lock) –framed stream for bunch crossing information non periodic stream –low pattern dependent jitter high efficiency / little redundancy –to keep noise bandwidth and circuitry rate minimum

Optical links in rad environment ASIC hardening –Total Dose and Single Event Effects –layout (i.e. ELT) and circuit (i.e. majority voting) techniques Optical components –increased power budget –photodiodes detect high energy particles as light radiation particle creates a current by ionization if current high enough, detect a false signal

Line code requirements (2) sufficient timing information dc-free line bit stream frame synchronization (frame lock) non periodic stream high efficiency / little redundancy error-correction capability –target: photodiode SEU low latency

Existing line codes scrambling –randomizes data with no bandwidth increase CIMT –Conditional Inversion + one Master Transition 8b/10b –mapping that guarantees minimum number of transitions and DC-balance 64b/66b –lower overhead needed for 10Gb Ethernet –scrambler + fixed transition for frame lock

Error correction and line codes this leads to error multiplication –need more complicated EC algorithms more time consuming ex: error multiplication with 8b/10b 8b/10b10b/8b (011010)(01101) ECline cod.ECline cod.channel

Proposed code block scheme line coding external to RS –to avoid error multiplication two options –64-bit data word converted in 88-bit line word code efficiency: ~73%, line speed: ~3.52 Gbps 40 MHz) –60-bit data word converted in 90-bit line word code efficiency: ~67%, line speed: ~3.60 Gbps 40 MHz) lower efficiency but higher error correction capability scramblerRS enc. header addition p/sdata inchannel ECline cod.ECline cod.channel

Scrambler randomizes the data with no bandwidth increase Linear Feedback Shift Register –long LFSR: better randomization self-synchronizing –broadcast link! S0S0 S 63 D 63 S1S1 S2S2 S 62 D 63 S 63 S2S2 S1S1 S0S0

Reed-Solomon EC - 1 family of linear cyclic block codes –very good efficiency –very good modularity/scalability feature: treat groups of m bits as single entities –correct burst errors used in cds and space communication –m-parallel structure 1-bit error error burst RS symbols, m=4

Reed-Solomon EC - 2 encoding done via LFSR (cyclic code) –performed in 1 T FRAME decoding: 4 “steps” –complexity growing with number of correctable errors –correct only one error latency issues –with interleaving for extended EC capability –performed in 2 T FRAME

“m=4” option ENCODING DECODING x 32-bit blocks RS. encoded blocks interleaving scrambled 64-bit word

“m=3” option scrambled 60-bit word x 15-bit blocks interleaving ENCODING DECODING RS. encoded blocks

Summary of the two options code char.“m=4” option“m=3” option L interleaved bl.24 NsNs 107 KsKs 85 N b = N s Lm8084 K b = K s Lm6460 scr. order n6360 H86 N tot = N b + H8890 eff.= K b / N tot ~73%~67% Err.Corr.1+1/21+3/4 “m=4” option: higher efficiency “m=3” option: higher error correction capability

average run-length about 3 bits verification of DC-balance –not compromised by the fact that scrambling is performed before RS encoding Simulation results

Implementation complexity –for the.13um tech and Artisan digital library –second option slightly more “expensive” –double error correction without interleaving 3x cells and power and worse >2x as much time for decoding ModuleCell countPower cons. (dyn) 1 st option enc mW 2 nd option enc mW 1 st option dec mW 2 nd option dec mW

Line code demonstrator ASIC “m=4” option implemented encoder and decoder can be tested separately or back-to-back limitation about number of pads required multiplexing and demultiplexing 1808 enc. control box 64 demux. RS enc.scr. header + serial fr. synch. RS dec.descr. mux. dec. control box

ASIC implementation details 0.13  m technology ARTISAN standard cell library area: 1mm x 1.3mm encoder: –~1700 cells –~25mW/GHz decoder: –~5000 cells –~50mW/GHz successfully tested

Summary line code requirements proposed line code blocks –scrambler + RS error correction + header addition –2 options 73% efficiency for (1+1/2) error correction capability 67% efficiency for (1+3/4) error correction capability code simulation results –average run-length < 3 bits –DC-wander well within 1% of eye opening ASIC implementation –fully digital chip was produced and successfully tested

Header addition governs the frame locking mechanism repeated header recognition allows locking repeated wrong header causes out-of-lock need to distinguish three block types “data”, “idle”, or “trigger” –done through different header patterns –SEU tolerant and DC-balanced –5 bits minimum

visually (1 st option) ENCODING DECODING bit word scrambled 64-bit word 2 x 32-bit words RS. encoded 2 x 32-bit words interleaving header addition

visually (2 nd option) ENCODING DECODING