Logical Effort A Method to Optimize Circuit Topology Swarthmore College E77 VLSI Design Adem Kader David Luong Mark Piper December 6, 2005.

Slides:



Advertisements
Similar presentations
EE 447 VLSI Design Lecture 7: Combinational Circuits
Advertisements

Introduction to CMOS VLSI Design Combinational Circuits
Design and Implementation of VLSI Systems (EN1600)
Logic Gate Delay Modeling -1 Bishnu Prasad Das Research Scholar CEDT, IISc, Bangalore
Topics Electrical properties of static combinational gates:
Lecture 9: Combinational Circuit Design. CMOS VLSI DesignCMOS VLSI Design 4th Ed. 10: Combinational Circuits2 Outline  Bubble Pushing  Compound Gates.
Chapter 08 Designing High-Speed CMOS Logic Networks
A Look at Chapter 4: Circuit Characterization and Performance Estimation Knowing the source of delays in CMOS gates and being able to estimate them efficiently.
Logical Effort Section Problems: P6.2 Compute the oscillation frequency of a seven-stage ring oscillator using 0.18 micron technology. Does.
Introduction to CMOS VLSI Design Combinational Circuits.
S. Reda EN1600 SP’08 Design and Implementation of VLSI Systems (EN1600S08) Lecture12: Logical Effort (1/2) Prof. Sherief Reda Division of Engineering,
Introduction to CMOS VLSI Design Lecture 4: DC & Transient Response
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 11: Logical Effort (1/2) Prof. Sherief Reda Division of Engineering, Brown.
Introduction to CMOS VLSI Design Lecture 5: Logical Effort David Harris Harvey Mudd College Spring 2004.
Introduction to CMOS VLSI Design Lecture 4: DC & Transient Response Credits: David Harris Harvey Mudd College (Material taken/adapted from Harris’ lecture.
Logical Effort.
Prof. John Nestor ECE Department Lafayette College Easton, Pennsylvania ECE VLSI Circuit Design Lecture 13 - More about.
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 22: Material Review Prof. Sherief Reda Division of Engineering, Brown University.
Outline Noise Margins Transient Analysis Delay Estimation
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture10: Delay Estimation Prof. Sherief Reda Division of Engineering, Brown University.
1 MICROELETTRONICA Logical Effort and delay Lection 4.
Introduction to CMOS VLSI Design Lecture 5: Logical Effort
Lecture 4 – Logical Effort
EE141 © Digital Integrated Circuits 2nd Combinational Circuits 1 Logical Effort - sizing for speed.
S. Reda EN160 SP’08 Design and Implementation of VLSI Systems (EN1600) Lecture 13: Logical Effort (2/2) Prof. Sherief Reda Division of Engineering, Brown.
Design and Implementation of VLSI Systems (EN0160)
EE 447 VLSI Design Lecture 5: Logical Effort. EE 447 VLSI Design 5: Logical Effort2 Outline Introduction Delay in a Logic Gate Multistage Logic Networks.
EE 447 VLSI Design 4: DC and Transient Response1 VLSI Design DC & Transient Response.
CMOS VLSI For Computer Engineering Lecture 4 – Logical Effort Prof. Luke Theogarajan parts adapted form Harris – and Rabaey-
Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 5.1 EE4800 CMOS Digital IC Design & Analysis Lecture 5 Logic Effort Zhuo Feng.
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 17: Static Combinational Circuit Design (1/2) Prof. Sherief Reda Division.
1 Final Exam Review. 2 word7 is high if A2 A1 A0 = 111 word0 is high if A2 A1 A0 = 000 logical effort of each input is (1+3.5)/3 per wordline output.
Cascading CMOS gates. Elettronica T A.A Digital Integrated Circuits © Prentice Hall 2003 Cascading CMOS Goal l Designing for minimum propagation.
EGRE 427 Advanced Digital Design Figures from Application-Specific Integrated Circuits, Michael John Sebastian Smith, Addison Wesley, 1997 Chapter 3 ASIC.
1 Delay Estimation Most digital designs have multiple data paths some of which are not critical. The critical path is defined as the path the offers the.
Review: CMOS Inverter: Dynamic
Elmore Delay, Logical Effort
Chapter 07 Electronic Analysis of CMOS Logic Gates
Logical Effort: optimal CMOS device sizing Albert Chun (M.A.Sc. Candidate) Ottawa-Carleton Institute for Electrical & Computer Engineering (OCIECE) Ottawa,
Modern VLSI Design 2e: Chapter 3 Copyright  1998 Prentice Hall PTR Topics n Electrical properties of static combinational gates: –transfer characteristics;
Introduction  Chip designers face a bewildering array of choices –What is the best circuit topology for a function? –How many stages of logic give least.
Modern VLSI Design 3e: Chapter 4 Copyright  1998, 2002 Prentice Hall PTR Topics n Combinational network delay. n Logic optimization.
Optimal digital circuit design Mohammad Sharifkhani.
Logical Effort and Transistor Sizing Digital designs are usually expected to operate at high frequencies, thus designers often have to choose the fastest.
Lecture 6: Logical Effort
Introduction to CMOS VLSI Design Lecture 5: Logical Effort GRECO-CIn-UFPE Harvey Mudd College Spring 2004.
Introduction to CMOS VLSI Design Lecture 6: Logical Effort
Linear Delay Model In general the propagation delay of a gate can be written as: d = f + p –p is the delay due to intrinsic capacitance. –f is the effort.
Topics Combinational network delay.
EEC 118 Lecture #7: Designing with Logical Effort Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation.
Introduction to CMOS VLSI Design Lecture 9: Circuit Families
BR 6/001 The RC Delay Model for Gates Recall that the RC Delay model for NMOS/PMOS from Harris (k is the width of the gate)
Chapter 6 Copyright © 2004 The McGraw-Hill Companies, Inc. All rights reserved. High-Speed CMOS Logic Design.
Modern VLSI Design 4e: Chapter 4 Copyright  2008 Wayne Wolf Topics n Combinational network delay. n Logic optimization.
EE141 © Digital Integrated Circuits 2nd Combinational Circuits 1 A few notes for your design  Finger and multiplier in schematic design  Parametric analysis.
EE415 VLSI Design. Read 4.1, 4.2 COMBINATIONAL LOGIC.
Modern VLSI Design 3e: Chapter 4 Copyright  1998, 2002 Prentice Hall PTR Topics n Transistor sizing: –Spice analysis. –Logical effort.
1 Timing Closure and the constant delay paradigm Problem: (timing closure problem) It has been difficult to get a circuit that meets delay requirements.
EE586 VLSI Design Partha Pande School of EECS Washington State University
Copyright © 2004 The McGraw-Hill Companies, Inc. All rights reserved.
Introduction to CMOS VLSI Design Chapter 4 Delay
Lecture 6: Logical Effort
Lecture 6: Logical Effort
Introduction to CMOS VLSI Design Lecture 5: Logical Effort
Estimating Delays Would be nice to have a “back of the envelope” method for sizing gates for speed Logical Effort Book by Sutherland, Sproull, Harris Chapter.
Lecture 6: Logical Effort
CS 258 Parallel Computer Architecture Lecture 5 Routing (Con’t)
Advanced Logical Effort
COMBINATIONAL LOGIC - 2.
Presentation transcript:

Logical Effort A Method to Optimize Circuit Topology Swarthmore College E77 VLSI Design Adem Kader David Luong Mark Piper December 6, 2005

Current Issues Facing Circuit Designers Wanting to optimize circuits for faster performance, inexperienced designers often encounter… –“Simulate-and-Tweak” loops –Incomplete intuition in design process –Uncertainty in decision-making

Logical Effort as a Solution Quick method of circuit analysis –Circuit topology –Transistor sizing –Delay estimation Easy way to compare multi-stage designs “Back-of-the-envelope” calculation Provides intuition of circuit timing characteristics in complex circuitry

How does it work? Assumes RC model of a transistor d = gh + p d = propagation delay gh = effort delay g = logical effort h = electrical effort = Cout/Cin p = parasitic delay

Defining Logical Effort Ratio of the input capacitance of the gate to the input capacitance of an inverter that can deliver the same output current Measure of a gate to drive a particular fan-out relative to an inverter

Visualizing Logical Effort

Application of Logical Effort Estimating Delay Propagation d = g h + p INVERTER NAND

Multi-Stage Design and Logical Effort Often circuits are more complicated than an inverter or a NAND gate Same framework applies with the modification…

Logical Effort and Transistor Sizing Interested in choosing transistor sizing to minimize stage and overall delay f (min) = g(i) * h(i) = F 1/N Delay equation becomes… In the end…

Application of Transistor Sizing How do we choose stage capacitances given we want to minimize propagation delay?

Optimal Number of Gates Path Effort F Optimal NMinimum Delay, D Stage Effort, f Rule of thumb is … Note that single gate does not always translate to minimized delay

Example: The Implementation Problem Which do you choose?

Using Logical Effort… Option 1: Path logic effort G = 1 * 6/3 * 1 = 2 Path Branch Effort B = 1 Path electrical effort H = C out /C in = 8C/C = 8 Path Stage effort = F = GBH = 2*1*8 = 16 D min = N*F1/N+P = 3*(16)1/3 + (1+4*1 + 1) = 3* = 13.5

Using Logical Effort… Option 2: Path logic effort G = 1 * 4/3 * 5/3 = 20/9 Path Branch Effort B = 1 Path electrical effort H = C out /C in = 8C/C = 8 Path Stage effort = F = GBH = 20/9*1*8 = 160/9 D min = N*F1/N+P = 3*(160/9)1/3 + (1+2*1 + 2) = 3* = 12.8

Using SPICE…

Example: Choosing the Optimal N The Buffer Problem Must drive 64 parallel inverters Choose 1, 3, or 5 series inverter stages to drive the load?

finding optimal #of stages N531 f D

1 inverter

3 inverters

5 inverters

all together

Problems with logical effort It’s only an approximation –But a good one It does not guarantee optimal solution –but gets quite close Chicken and egg problem –chicken Built for speed –Does not account for power consumption and physical size

So What Have We Learned? Logical Effort… –Provides method to quickly determine speed of design topologies for comparison –Displays changes to parameter tweaking

I agree with stupid  It’s so… logical! now that makes sense!