PERFECT Empower Project: Prototype Software Releases PI: Massoud Pedram Co-PIs: Murali Annavaram and Kaushik Roy (Purdue) July 17, 2014.

Slides:



Advertisements
Similar presentations
DRAFT - NOT FOR PUBLICATION 14 July 2004 – ITRS Summer Conference ITRS FEP Challenges Continued scaling will require the introduction of new materials.
Advertisements

Savas Kaya and Ahmad Al-Ahmadi School of EE&CS Russ College of Eng & Tech Search for Optimum and Scalable COSMOS.
Novel dual-Vth independent-gate FinFET circuits
Collaborators EXPERIMENT Duc Nguyen, 3rd year student UNM/AFRL RVSE
© 2014 Synopsys. All rights reserved.1 Wheres my glass slipper? TAU 2014 Nanda Gopal Director R&D, Characterization.
Tunable Sensors for Process-Aware Voltage Scaling
Alireza Shafaei, Yanzhi Wang, Xue Lin, and Massoud Pedram
Improving Placement under the Constant Delay Model Kolja Sulimma 1, Ingmar Neumann 1, Lukas Van Ginneken 2, Wolfgang Kunz 1 1 EE and IT Department University.
FinFETs: From Circuit to Architecture
0 1 Width-dependent Statistical Leakage Modeling for Random Dopant Induced Threshold Voltage Shift Jie Gu, Sachin Sapatnekar, Chris Kim Department of Electrical.
University of Michigan Electrical Engineering and Computer Science University of Michigan Electrical Engineering and Computer Science August 20, 2009 Enabling.
Energy Source Lifetime Optimization for a Digital System through Power Management Department of Electrical and Computer Engineering Auburn University,
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 20: Circuit Design Pitfalls Prof. Sherief Reda Division of Engineering,
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
Design Sensitivities to Variability: Extrapolations and Assessments in Nanometer VLSI Y. Kevin Cao *, Puneet Gupta +, Andrew Kahng +, Dennis Sylvester.
Analysis on Performance Controllability under Process Variability: A Step Towards Grid-Based Analog Circuit Optimizers Seobin Jung Mixed-Signal IC and.
1 A Single-supply True Voltage Level Shifter Rajesh Garg Gagandeep Mallarapu Sunil P. Khatri Department of Electrical and Computer Engineering, Texas A&M.
On-Line Adjustable Buffering for Runtime Power Reduction Andrew B. Kahng Ψ Sherief Reda † Puneet Sharma Ψ Ψ University of California, San Diego † Brown.
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 22: Material Review Prof. Sherief Reda Division of Engineering, Brown University.
Toward Performance-Driven Reduction of the Cost of RET-Based Lithography Control Dennis Sylvester Jie Yang (Univ. of Michigan,
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 13: Power Dissipation Prof. Sherief Reda Division of Engineering, Brown.
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
Trace-Based Framework for Concurrent Development of Process and FPGA Architecture Considering Process Variation and Reliability 1 Lerong Cheng, 1 Yan Lin,
Statistical Critical Path Selection for Timing Validation Kai Yang, Kwang-Ting Cheng, and Li-C Wang Department of Electrical and Computer Engineering University.
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
The CMOS Inverter Slides adapted from:
Digital Integrated Circuits© Prentice Hall 1995 Inverter THE INVERTERS.
Advanced Computing and Information Systems laboratory Device Variability Impact on Logic Gate Failure Rates Erin Taylor and José Fortes Department of Electrical.
Effects of Variation on Emerging Devices for Use in SRAM
Mary Jane Irwin ( ) Modified by Dr. George Engel (SIUE)
Mary Jane Irwin ( ) CSE477 VLSI Digital Circuits Fall 2002 Lecture 04: CMOS Inverter (static view) Mary Jane.
*F. Adamu-Lema, G. Roy, A. R. Brown, A. Asenov and S. Roy
“ Near-Threshold Computing: Reclaiming Moore’s Law Through Energy Efficient Integrated Circuits ” By Ronald G. Dreslinski, Michael Wieckowski, David Blaauw,
Chalmers University of Technology FlexSoC Seminar Series – Page 1 Power Estimation FlexSoc Seminar Series – Daniel Eckerbert
MASSOUD PEDRAM UNIVERSITY OF SOUTHERN CALIFORNIA Interconnect Length Estimation in VLSI Designs: A Retrospective.
Ronny Krashinsky Seongmoo Heo Michael Zhang Krste Asanovic MIT Laboratory for Computer Science SyCHOSys Synchronous.
Determining the Optimal Process Technology for Performance- Constrained Circuits Michael Boyer & Sudeep Ghosh ECE 563: Introduction to VLSI December 5.
THE INVERTERS. DIGITAL GATES Fundamental Parameters l Functionality l Reliability, Robustness l Area l Performance »Speed (delay) »Power Consumption »Energy.
Charles Kime & Thomas Kaminski © 2008 Pearson Education, Inc. (Hyperlinks are active in View Show mode) Chapter 6 – Selected Design Topics Part 1 – The.
The George Washington University School of Engineering and Applied Science Department of Electrical and Computer Engineering ECE122 – Lab 7 MOSFET Parameters.
Jennifer Winikus Computer Engineering Seminar Michigan Technological University February 10,2011 2/10/2011J Winikus EE
Modern VLSI Design 3e: Chapter 3Partly from 2002 Prentice Hall PTR week9-1 Lectures 21, 22 FPGA and Top-Down Design Flow Mar. 3 and 5, 2003.
1 BULK Si (100) VALENCE BAND STRUCTURE UNDER STRAIN Sagar Suthram Computational Nanoelectronics Class Project
Modern VLSI Design 4e: Chapter 3 Copyright  2008 Wayne Wolf Topics n Pseudo-nMOS gates. n DCVS logic. n Domino gates. n Design-for-yield. n Gates as IP.
1 Modeling and Simulation International Technology Roadmap for Semiconductors, 2004 Update Ashwini Ujjinamatada Course: CMPE 640 Date: December 05, 2005.
LA-LRU: A Latency-Aware Replacement Policy for Variation Tolerant Caches Aarul Jain, Cambridge Silicon Radio, Phoenix Aviral Shrivastava, Arizona State.
NUMERICAL TECHNOLOGIES, INC. Assessing Technology tradeoffs for 65nm logic circuits D Pramanik, M Cote, K Beaudette Numerical Technologies Inc Valery Axelrad.
Outline Introduction: BTI Aging and AVS Signoff Problem
Charge Recycling in MTCMOS Circuits: Concept and Analysis
Physical Synthesis Buffer Insertion, Gate Sizing, Wire Sizing,
Basics of Energy & Power Dissipation
Enabling System-Level Modeling of Variation-Induced Faults in Networks-on-Chips Konstantinos Aisopos (Princeton, MIT) Chia-Hsin Owen Chen (MIT) Li-Shiuan.
Design and Analysis of A Novel 8T SRAM Cell December 14, 2010 Department of Microelectronic Engineering & Centre for Efficiency Oriented Languages University.
LOGIC OPTIMIZATION USING TECHNOLOGY INDEPENDENT MUX BASED ADDERS IN FPGA Project Guide: Smt. Latha Dept of E & C JSSATE, Bangalore. From: N GURURAJ M-Tech,
Bi-CMOS Prakash B.
Chapter 2 MOS Transistor Theory. NMOS Operation Region.
EE201C : Stochastic Modeling of FinFET LER and Circuits Optimization based on Stochastic Modeling Shaodi Wang
Tae- Hyoung Kim, Hanyong Eom, John Keane Presented by Mandeep Singh
IEE5328 Nanodevice Transport Theory and Computational Tools Prof. Ming-Jer Chen Dept. Electronics Engineering National Chiao-Tung University Feb. 20, 2013.
Joshua L. Garrett Digital Circuits Design GroupUniversity of California, Berkeley Compact DSM MOS Modeling for Energy/Delay Estimation Joshua Garrett,
Click to edit Master title style Progress Update Energy-Performance Characterization of CMOS/MTJ Hybrid Circuits Fengbo Ren 05/28/2010.
TRAMS PMB Meeting, Barcelona, 12 th November 2012 TRAMS: Terascale Reliable Adaptive Memory Systems Workpackage 1.4 Si-Yu Liao, Ewan Towie, Craig Riddet,
Written by Whitney J. Wadlow
EE 653: Group #3 Impact of Drowsy Caches on SER Arjun Bir Singh Mohammad Abdel-Majeed Sameer G Kulkarni.
Alireza Shafaei, Shuang Chen, Yanzhi Wang, and Massoud Pedram
Low Write-Energy STT-MRAMs using FinFET-based Access Transistors
Analytical Delay and Variation Modeling for Subthreshold Circuits
EE201C Modeling of VLSI Circuits and Systems Final Project
Circuit Design Techniques for Low Power DSPs
Presentation transcript:

PERFECT Empower Project: Prototype Software Releases PI: Massoud Pedram Co-PIs: Murali Annavaram and Kaushik Roy (Purdue) July 17, 2014

DARPA Perfect Releases: Overview Device Releases (nominal devices) 5nm gate length FinFET devices (7nm effective channel length) from Prof. Kaushik Roy’s group) 7nm gate length FinFET devices (10nm effective channel length) with two threshold voltages 14nm bulk CMOS devices Cell Library Releases 5nm gate length FinFET cell libraries for near- and super-threshold operations 7nm gate length FinFET cell libraries with two threshold voltages for near- and super-threshold operations 14nm CMOS cell libraries for near- and super-threshold operations 16nm CMOS (PTM) cell libraries for near- and super-threshold operations Architecture-level Releases PCACTI 2

Device Releases: 5nm Gate Length FinFET 5nm gate length (7nm channel length) FinFET devices SPICE-compatible Verilog-A models Based on NEMO5 simulator, which uses quantum transport models (e.g., atomistic simulator) Three different device models depending on the degree of gate underlap The most advanced device model in the release Available on the PERFECT Wiki site and NanoHub 3

Device Releases: 7nm Gate Length FinFET 7nm gate length (10nm channel length) FinFET devices with two different threshold voltages SPICE-compatible Verilog-A models Includes low-threshold FinFET devices with a threshold voltage of 0.2V-0.25V as well as high-threshold devices with a threshold voltage of 0.3V-0.35V Based on Synopsys TCAD suite (i.e., Sentaurus), which uses semi-classical transport models with quantum correction Beta release available on the PERFECT Wiki site and 4

Device Releases: 14nm CMOS Devices 14nm CMOS devices developed by Prof. Pedram’s team SPICE-compatible Verilog-A models Based on Synopsys TCAD suite (i.e., Sentaurus) Beta release available on the PERFECT Wiki site and May be compared with the PTM 16nm bulk CMOS device models of Prof. Yu Cao’s team at Arizona State University 5

Device Releases: Ongoing Work The beta releases of 5nm gate length and 7nm gate length FinFET devices are nominal device models No accounting for the process variation effects yet Nominal device model parameters may have to be changed in order to do proper design centering Must develop new models for a few critical corners 6

Cell Library Releases: 5nm Gate Length FinFET Standard cell libraries based on 5nm gate length (7nm channel length) FinFET devices Can be used for circuit synthesis Beta release available on the PERFECT Wiki site and Containing the following libraries: 5nm gate length FinFET library operating at 0.3V supply voltage level (near-threshold operation) 5nm gate length FinFET library operating at 0.45V supply voltage level (super-threshold operation) 7

Cell Library Releases: 7nm Gate Length FinFET Standard cell libraries based on multi-threshold 7nm gate length (10nm channel length) FinFET devices Beta release available on the PERFECT Wiki site and Containing the following libraries: FinFET_7nm_HVT_0300.lib: Standard cell library of high-threshold FinFET devices operating at 0.3V supply voltage level (near- threshold) FinFET_7nm_HVT_0450.lib: Standard cell library of high-threshold FinFET devices operating at 0.45V supply voltage level (super- threshold) FinFET_7nm_LVT_0300.lib: Standard cell library of low-threshold FinFET devices operating at 0.3V supply voltage level (near- threshold) FinFET_7nm_LVT_0450.lib: Standard cell library of low-threshold FinFET devices operating at 0.45V supply voltage level (super- threshold) 8

Cell Library Releases: 14nm and 16nm CMOS Standard cell libraries based on 14nm CMOS devices Containing the following libraries: 14nm CMOS library at 0.55V supply voltage level (near-threshold operation) 14nm CMOS library at 0.70V supply voltage level (super-threshold operation) 14nm CMOS library at 0.80V supply voltage level (performance-boosted operation) Standard cell libraries based on 16nm PTM CMOS devices Containing the following libraries: 16nm CMOS library at 0.50V supply voltage level (near-threshold operation) 16nm CMOS library at 0.70V supply voltage level (super-threshold operation) Beta release available on the PERFECT Wiki site and 9

Cell Library Releases: Ongoing Work Incorporating wire load modeling in the standard cell library Wire load library will be released soon Generating ( -based) physical cells for layout 10

Architecture-level Releases: PCACTI PCACTI: A delay, power, and area modeling tool for cache and memory systems for deeply-scaled FinFET devices supporting multiple voltage regimes Extension over the widely-used CACTI tool for bulk CMOS devices Addresses key shortcomings of current releases of CACTI: Support only planar CMOS devices from 90nm to 22nm technology nodes Rely on outdated (and somewhat inaccurate) technological parameters –Extracted from 2005 ITRS predictions Support only conventional 6T SRAM cell designs –An 6T SRAM cell optimized for 130nm process is adopted for all technology nodes –The impact of V DD scaling and device mismatches have been ignored 11

PCACTI: Novel Features Features of PCACTI (previously named FinCACTI): Accurate technology parameters for deeply-scaled (7nm gate length) FinFET devices ON/OFF currents for N- and P-type fins (for temperatures ranging from 300K to 400K) SPICE-compatible Verilog-A models to derive gate- and circuit-level parameters E.g., PMOS to NMOS size ratio, stack effect factor, DC noise margin of FinFET-based SRAM cells Accurate area and capacitance models for FinFET devices Layout area, power, and access delay calculations for FinFET-based 6T and 8T SRAM cells Architectural support for the 8T SRAM cells Multiple voltage support for SRAM cells Updated interconnect-related electrical parameters Based on 2012 version of the ITRS XML-based interfaces for specifying cache configuration, SRAM cell, technological parameters, etc. 12

PCACTI: Status and Near-Future Plans Current version supports 7nm gate length FinFET devices (low threshold device), 14nm CMOS devices (our model), and 16nm PTM CMOS devices Supports two operating voltage levels (near- and super-threshold) for each technology node In the process of adding support for 22nm and 32nm CMOS devices for completeness as well as various versions of the 5nm gate length FinFET devices 13

PCACTI: Releases, Reference, Results Releases of PCACTI under the PERFECT Wiki page and PCACTI.zip file for download and web interface Reference: A. Shafaei, Y. Wang, X. Lin, and M. Pedram, “FinCACTI: Architectural analysis and modeling of caches with deeply-scaled FinFET devices,” Proc. of the IEEE Computer Society Annual Symposium on VLSI, July 2014, Best paper award. Sample Results for a 16KB, 4-way set-associative, L1 cache 7nm gate length FinFET (our device design) at V DD = 0.3V and V DD = 0.45V 14nm CMOS (our device design) at V DD = 0.55V and V DD = 0.8V 14

PCACTI: Web Interface 15

Longer Term Plans for PCACTI Planned work: Enhancing SRAM cell stability and reduce leakage: FinFET-based SRAM cells with multi-threshold devices FinFET-based SRAM cells dual-gate control capability Performing variability-aware design of SRAM cells: Joint optimization of V DD and SRAM cell configuration Start using new models provided by PCACTI along with architectural modeling and simulation tools such as GPUWattch and McPAT for doing power/performance characterizations and optimizations at the architecture and system levels 16