FinFETs: From Circuit to Architecture

Slides:



Advertisements
Similar presentations
Low-power FinFET Circuit Design
Advertisements

Keeping Hot Chips Cool Ruchir Puri, Leon Stok, Subhrajit Bhattacharya IBM T.J. Watson Research Center Yorktown Heights, NY Circuits R-US.
Lecture 5: DC & Transient Response
Leakage and Dynamic Glitch Power Minimization Using MIP for V th Assignment and Path Balancing Yuanlin Lu and Vishwani D. Agrawal Auburn University ECE.
PERFECT Empower Project: Prototype Software Releases PI: Massoud Pedram Co-PIs: Murali Annavaram and Kaushik Roy (Purdue) July 17, 2014.
High-Level Constructors and Estimators Majid Sarrafzadeh and Jason Cong Computer Science Department
May 18, 2004MS Defense: Uppalapati1 Low Power Design of Standard Cell Digital VLSI Circuits By Siri Uppalapati Thesis Directors: Prof. M. L. Bushnell and.
Introduction to CMOS VLSI Design Lecture 18: Design for Low Power David Harris Harvey Mudd College Spring 2004.
August 12, 2005Uppalapati et al.: VDAT'051 Glitch-Free Design of Low Power ASICs Using Customized Resistive Feedthrough Cells 9th VLSI Design & Test Symposium.
Yan Lin, Fei Li and Lei He EE Department, UCLA
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
Power Modeling and Architecture Evaluation for FPGA with Novel Circuits for Vdd Programmability Yan Lin, Fei Li and Lei He EE Department, UCLA
Institute of Digital and Computer Systems 1 Fabio Garzia / Finding Peak Performance in a Process23/06/2015 Chapter 5 Finding Peak Performance in a Process.
On-Line Adjustable Buffering for Runtime Power Reduction Andrew B. Kahng Ψ Sherief Reda † Puneet Sharma Ψ Ψ University of California, San Diego † Brown.
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 22: Material Review Prof. Sherief Reda Division of Engineering, Brown University.
NanoMap: An Integrated Design Optimization Flow for a Hybrid Nanotube/CMOS Dynamically Reconfigurable Architecture Wei Zhang†, Li Shang‡ and Niraj K. Jha†
Jan. 2007VLSI Design '071 Statistical Leakage and Timing Optimization for Submicron Process Variation Yuanlin Lu and Vishwani D. Agrawal ECE Dept. Auburn.
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 13: Power Dissipation Prof. Sherief Reda Division of Engineering, Brown.
Fall 06, Sep 14 ELEC / Lecture 5 1 ELEC / (Fall 2006) Low-Power Design of Electronic Circuits (Formerly ELEC / )
Lecture 5 – Power Prof. Luke Theogarajan
EE4800 CMOS Digital IC Design & Analysis
Lecture 7: Power.
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
9/27/05ELEC / Lecture 91 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
1 Reconfigurable ECO Cells for Timing Closure and IR Drop Minimization TingTing Hwang Tsing Hua University, Hsin-Chu.
© Digital Integrated Circuits 2nd Devices VLSI Devices  Intuitive understanding of device operation  Fundamental analytic models  Manual Models  Spice.
The CMOS Inverter Slides adapted from:
MOS Inverter: Static Characteristics
EE466: VLSI Design Power Dissipation. Outline Motivation to estimate power dissipation Sources of power dissipation Dynamic power dissipation Static power.
1 Delay Estimation Most digital designs have multiple data paths some of which are not critical. The critical path is defined as the path the offers the.
ENGG 6090 Topic Review1 How to reduce the power dissipation? Switching Activity Switched Capacitance Voltage Scaling.
17 Sep 2002Embedded Seminar2 Outline The Big Picture Who’s got the Power? What’s in the bag of tricks?
Chalmers University of Technology FlexSoC Seminar Series – Page 1 Power Estimation FlexSoc Seminar Series – Daniel Eckerbert
1 VLSI Design SMD154 LOW-POWER DESIGN Magnus Eriksson & Simon Olsson.
Ronny Krashinsky Seongmoo Heo Michael Zhang Krste Asanovic MIT Laboratory for Computer Science SyCHOSys Synchronous.
Power Reduction for FPGA using Multiple Vdd/Vth
Research on Analysis and Physical Synthesis Chung-Kuan Cheng CSE Department UC San Diego
CAD for Physical Design of VLSI Circuits
LOPASS: A Low Power Architectural Synthesis for FPGAs with Interconnect Estimation and Optimization Harikrishnan K.C. University of Massachusetts Amherst.
A Class Presentation for VLSI Course by : Fatemeh Refan Based on the work Leakage Power Analysis and Comparison of Deep Submicron Logic Gates Geoff Merrett.
Logic Synthesis for Low Power(CHAPTER 6) 6.1 Introduction 6.2 Power Estimation Techniques 6.3 Power Minimization Techniques 6.4 Summary.
Jia Yao and Vishwani D. Agrawal Department of Electrical and Computer Engineering Auburn University Auburn, AL 36830, USA Dual-Threshold Design of Sub-Threshold.
1. NATURE: Non-Volatile Nanotube RAM based Field-Programmable Gate Arrays Wei Zhang†, Niraj K. Jha† and Li Shang ‡ †Dept. of Electrical Engineering Princeton.
Chapter 07 Electronic Analysis of CMOS Logic Gates
Modern VLSI Design 2e: Chapter 3 Copyright  1998 Prentice Hall PTR Topics n Electrical properties of static combinational gates: –transfer characteristics;
Why Low Power Testing? 台大電子所 李建模.
Impact of Interconnect Architecture on VPSAs (Via-Programmed Structured ASICs) Usman Ahmed Guy Lemieux Steve Wilton System-on-Chip Lab University of British.
Modern VLSI Design 4e: Chapter 3 Copyright  2008 Wayne Wolf Topics n Pseudo-nMOS gates. n DCVS logic. n Domino gates. n Design-for-yield. n Gates as IP.
Skewed Flip-Flop Transformation for Minimizing Leakage in Sequential Circuits Jun Seomun, Jaehyun Kim, Youngsoo Shin Dept. of Electrical Engineering, KAIST,
Basics of Energy & Power Dissipation
Enabling System-Level Modeling of Variation-Induced Faults in Networks-on-Chips Konstantinos Aisopos (Princeton, MIT) Chia-Hsin Owen Chen (MIT) Li-Shiuan.
1 Carnegie Mellon University Center for Silicon System Implementation An Architectural Exploration of Via Patterned Gate Arrays Chetan Patel, Anthony Cozzie,
© Digital Integrated Circuits 2nd Inverter Digital Integrated Circuits A Design Perspective The Inverter Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.
FPGA-Based System Design: Chapter 2 Copyright  2004 Prentice Hall PTR Topics n Logic gate delay. n Logic gate power consumption. n Driving large loads.
EE201C : Stochastic Modeling of FinFET LER and Circuits Optimization based on Stochastic Modeling Shaodi Wang
Tae- Hyoung Kim, Hanyong Eom, John Keane Presented by Mandeep Singh
Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 6.1 EE4800 CMOS Digital IC Design & Analysis Lecture 6 Power Zhuo Feng.
Joshua L. Garrett Digital Circuits Design GroupUniversity of California, Berkeley Compact DSM MOS Modeling for Energy/Delay Estimation Joshua Garrett,
Click to edit Master title style Progress Update Energy-Performance Characterization of CMOS/MTJ Hybrid Circuits Fengbo Ren 05/28/2010.
1 Dual-V cc SRAM Class presentation for Advanced VLSIPresenter:A.Sammak Adopted from: M. Khellah,A 4.2GHz 0.3mm 2 256kb Dual-V CC SRAM Building Block in.
CS203 – Advanced Computer Architecture
Presented by Rania Kilany.  Energy consumption  Energy consumption is a major concern in many embedded computing systems.  Cache Memories 50%  Cache.
PROCEED: Pareto Optimization-based Circuit-level Evaluation Methodology for Emerging Devices Shaodi Wang, Andrew Pan, Chi-On Chui and Puneet Gupta Department.
Yanqing Zhang University of Virginia On Clock Network Design for Sub- threshold Circuitry 1.
The Interconnect Delay Bottleneck.
Alireza Shafaei, Shuang Chen, Yanzhi Wang, and Massoud Pedram
Circuit Design Techniques for Low Power DSPs
FPGA Glitch Power Analysis and Reduction
Department of Electrical Engineering Joint work with Jiong Luo
Chapter 3b Leakage Efficient Chip-Level Dual-Vdd Assignment with Time Slack Allocation for FPGA Power Reduction Prof. Lei He Electrical Engineering Department.
Presentation transcript:

FinFETs: From Circuit to Architecture Niraj K. Jha Dept. of Electrical Engineering Princeton University Joint work with: Anish Muttreja, Prateek Mishra, Chun-Yi Lee, Ajay Bhoj and Wei Zhang

Talk Outline Background Low Power FinFET Circuits Architectural Impact Unusual Logic Styles Unusual Dual-Vdd/Dual-Vth Circuits Architectural Impact Other Ongoing Work Conclusions

Why Double-gate Transistors ? Feature size 32 nm 10 nm Bulk CMOS DG-FETs Gap Non-Si nano devices DG-FETs can be used to fill this gap DG-FETs are extensions of CMOS Manufacturing processes similar to CMOS Key limitations of CMOS scaling addressed through Better control of channel from transistor gates Reduced short-channel effects Better Ion/Ioff Improved sub-threshold slope No discrete dopant fluctuations

What are FinFETs? Fin-type DG-FET A FinFET is like a FET, but the channel has been “turned on its edge” and made to stand up Si Fin

Independent-gate FinFETs Back Gate Oxide insulation Both the gates of a FET can be independently controlled Independent control Requires an extra process step Leads to a number of interesting analog and digital circuit structures

FinFET Width Quantization Electrical width of a FinFET with n fins: W = 2*n*h Channel width in a FinFET is quantized Width quantization is a design challenge if fine control of transistor drive strength is needed E.g., in ensuring stability of memory cells FinFET structure Ananthan, ISQED’05

Talk Outline Background Low Power FinFET Circuits Architectural Impact Unusual Logic Styles Unusual Dual-Vdd/Dual-Vth Circuits Architectural Impact Other Ongoing Work Conclusions 7

Motivation: Power Consumption Traditional view of CMOS power consumption Active mode: Dynamic power (switching + short circuit + glitching) Standby mode: Leakage power Problem: rising active leakage 40% of total active mode power consumption (70nm bulk CMOS) † †J. Kao, S. Narendra and A. Chandrakasan, “Subthreshold leakage modeling and reduction techniques,” in Proc. ICCAD, 2002.

Logic Styles: NAND Gates SG-mode NAND IG-mode NAND IG-mode pull up pull up bias voltage LP-mode NAND IG/LP-mode NAND LP-mode pull down pull down bias voltage

Comparing Logic Styles Design Mode Advantages Disadvantages SG Fastest under all load conditions High leakage† (1μA) LP Very low leakage (85nA), low switched capacitance Slowest, especially under load. Area overhead (routing) IG Low area and switched capacitance Unmatched pull-up and pull-down delays. High leakage (772nA) IG/LP Low leakage (337nA), area and switched capacitance Almost as slow as LP mode †Average leakage current for two-input NAND gate (Vdd = 1.0V)

FinFET Circuit Power Optimization Construct FinFET-based Synopsys technology libraries Extend linear programming based cell selection† for FinFETs Use optimized netlists to compare logic styles at a range of delay constraints 32 nm PTM FinFET models Delay/power characterization in SPICE LP IG/LP IG SG Synopsys libraries inFET models (UFDG, PTM) Logic gate designs Benchmark Minimum-delay synthesis in Design Compiler SG-mode netlist Power-optimized mixed-mode netlists SG+ IG/LP SG+IG SG+LP Linear programming based cell selection †D. Chinnery and K. Keutzer, “Linear programming for sizing, Vdd and Vt assignment,” in Proc. ISLPED, 2005. 11

Power Consumption of Optimized Circuits Estimated total power consumption for ISCAS’85 benchmarks Vdd = 1.0V, α = 0.1, 32nm FinFETs Available modes Leakage power savings 110% a.t. (68.5%) 120% a.t. (80.3%) Total power savings 110% arrival time (a.t.) (34%) 120% a.t. ( 47.5%) 12

Talk Outline Background Low Power FinFET Circuits Architectural Impact Unusual Logic Styles Unusual Dual-Vdd/Dual-Vth Circuits Architectural Impact Other Ongoing Work Conclusions 13

Dual-Vdd FinFET Circuits Conventional low- power principle: 1.0V Vdd for critical logic, 0.7V for off-critical paths Our proposal: overdriven gates Overdriven FinFET gates leak a lot less! Reverse bias Vgs=+0.08V Higher Vth 1.08V 1V Leakage current Vin Overdriven inverter 14

Vth Control with Multiple Vdd’s (TCMS) Using only two Vdd’s saves leakage only in P-type FinFETs, but not in N-type FinFETs Solution Use a negative ground voltage (VHss) to symmetrically save leakage in N-type FinFETs VddH VddL Symmetric threshold control for P and N VddH 1.08V VddL 1.0V VssH -0.08V VssL 0.0V TCMS buffer VssH VssL 15

Exploratory Buffer Design lopt S1 S2 VHdd VHss VLss VLdd i i’ Size of high-Vdd inverters kept small to minimize leakage in them Wire capacitances not driven by high-Vdd inverters Output inverter in each buffer overdriven and its size (and switched capacitance) can be reduced 16

Power Savings Power component Savings Dynamic power -29.8% Leakage power 57.9% Total power 50.4% Benchmarks are nets extracted from real layouts and scaled to 32nm http://dropzone.tamu.edu/~zhouli/GSRC/fast_buffer_insertion.html 17

Fin-count Savings Transistor area is measured as the total number of fins required by all buffers TCMS can save 9% in transistor area 18

TCMS Extension Delay-minimized netlist Power-optimized netlist Power : 283.6uW Area: 538 fins Power-optimized netlist Power : 149.9uW Area: 216 fins 19

Power Reduction (ISCAS’85 Benchmarks)

Power-minimized vs Delay-minimized Netlists at 130% ATC TCMS TCMS (Single-Vth Dual-Vdd % reduction in dynamic power 53.3 49.8 51.4 % reduction in leakage power 95.8 95.7 % reduction in total power 67.6 65.3 66.3 Fin-count 65.2 59.5 61.6

Talk Outline Background Low Power FinFET Circuits Architectural Impact Unusual Logic Styles Unusual Dual-Vdd/Dual-Vth Circuits Architectural Impact Other Ongoing Work Conclusions 22

Orion-FinFET Extends ORION for FinFET-based power simulation for interconnection networks FinFET power libraries for various temperatures and technologies nodes Power breakdown of interconnection networks for different FinFET modes Power comparison for different FinFET modes under different traffic patterns

Router Microarchitecture & Pipeline Stages

Power Simulation Flow

Power Breakdown for SG/LP Modes 4X4 mesh network: 5 ports/router, 48-flit buffer/port Flit width = 128 bits Clock frequency = 1GHz Router power breakdown Network power breakdown

Bulk CMOS vs. LP-mode FinFETs Bulk CMOS simulation: 32nm predictive technology model Leakage power of bulk CMOS network 2.68X as compared to an LP-mode FinFET network

Router Leakage Power vs. Temp. Leakage power of SG-mode router grows much faster with temp. than for LP-mode Leakage power ratio at 105oC: 7:1

Talk Outline Background Low Power FinFET Circuits Architectural Impact Unusual Logic Styles Unusual Dual-Vdd/Dual-Vth Circuits Architectural Impact Other ongoing work Conclusions 29

FinFET SRAM and Embedded DRAM Design FinE: Two-tier FinFET simulation framework for FinFET circuit design space exploration: Sentaurus TCAD+UFDG SPICE model Quasi Monte-Carlo simulation for process variation analysis Thermal analysis using ThermalScope Yield estimation Variation-tolerant ultra low-leakage FinFET SRAMs at lower technology nodes Gated-diode FinFET embedded DRAMs 30

Extension of CACTI for FinFETs Selection of any of the FinFET SRAM and embedded DRAM cells Use of any of the FinFET operating modes Scaling of FinFET designs from 32nm to 22nm, 16nm and 10nm technology nodes Accurately modeling the behavior of a wide range of cache configurations 31

FPGA vs. ASICs Distributed non-volatile nano RAMs: main storage for reconfiguration bits Fine-grain reconfiguration (even cycle-by-cycle) and logic folding More than an order of magnitude increase in logic density and area-delay product Competitive performance and moderate power consumption Non-volatility: useful in low power & secure processing NanoMap to map application to NATURE Significant area-delay trade-off flexibility CMOS fabrication compatible Nano RAM on-chip storage Run-time reconfiguration NATURE Temporal logic folding Design flexibility Logic density

Conclusions FinFETs a necessary semiconductor evolution step because of bulk CMOS scaling problems beyond 32nm Use of the FinFET back gate leads to very interesting design opportunities Rich diversity of design styles, made possible by independent control of FinFET gates, can be used effectively to reduce total active power consumption TCMS able to reduce both delay and subthreshold leakage current in a logic circuit simultaneously Time has arrived to start exploring the architectural trade-offs made possible by switch to FinFETs