© 2015 Synopsys, Inc. All rights reserved.1 Timing Analysis in a Mixed Signal World TAU Workshop Panel Session Jim Sproch March 12, 2015.

Slides:



Advertisements
Similar presentations
Explicit Gate Delay Model for Timing Evaluation Muzhou Shao : University of Texas at Austin D.F.Wong : U. of Illinois at Urbana- Champaign Huijing Cao.
Advertisements

© 2014 Synopsys. All rights reserved.1 Wheres my glass slipper? TAU 2014 Nanda Gopal Director R&D, Characterization.
The need for AMS assertions Verify the analog/digital interfaces at block and SoC levels –Check properties involving voltages and currents –Check complex.
4/16/2015Fractal Technologies Confidential Fractal Technologies Validation checks for: -Standard cell Libraries -IO lib and IP (Design Formats)
Analog-to-Digital Converter (ADC) And
Sensors Interfacing.
Data Acquisition ET 228 Chapter
Moon-Su Kim, Sunik Heo, DalHee Lee, DaeJoon Hyun, Byung Su Kim, Bonghyun Lee, Chul Rim, Hyosig Won, Keesup Kim Samsung Electronics Co., Ltd. System LSI.
5/4/2006BAE Analog to Digital (A/D) Conversion An overview of A/D techniques.
How to Accelerate the Analog Design Verification Flow Itai Yarom Senior Verification Expert Synopsys.
MS-SoC Best Practices – Advanced Modeling & Verification Techniques for first-pass success By Neyaz Khan Greg Glennon Dan Romaine.
Ch.3 Overview of Standard Cell Design
Verification of Mixed-Signal Systems with Range Based Signal Representations Michael Kärgel, Markus Olbrich, Erich Barke.
11 EDA for Mixed Signal Design Chirayu Amin Design and Technology Solutions Intel Corporation March 12, 2015 Acknowledgements Chandramouli Kashyap, Scott.
IC Interconnect Modeling Dr. Paul Van Halen PROBLEM  Resistive, capacitive and inductive effects in circuit interconnect.
ECE Synthesis & Verification1 ECE 667 Spring 2011 Synthesis and Verification of Digital Systems Verification Introduction.
Copyright 2005, Agrawal & BushnellVLSI Test: Lecture 17alt1 Lecture 17alt Analog Circuit Test (Alternative to Lectures 17, 18, 19 and 30)  Analog circuits.
Introduction to Analog-to-Digital Converters
RAVEN: Automatic Generation of Analog Behavioral Models
Clock Noise in Sampled Data Systems Sampled Data System.
Signal Integrity Methodology on 300 MHz SoC using ALF libraries and tools Wolfgang Roethig, Ramakrishna Nibhanupudi, Arun Balakrishnan, Gopal Dandu Steven.
Digital Integrated Circuits for Communication
Phase Locked Loops Continued
Churning the Most Out of IP-XACT for Superior Design Quality Ayon Dey Lead Engineer, TI Anshuman Nayak Senior Product Director, Atrenta Samantak Chakrabarti.
EDA TOOLS. Why EDA? Imagine a Intel based micro processor having 1.5 million transistors. Would it be feasible to design such a complex system with help.
Supply Voltage Biasing in Synopsys Andy Whetzel University of Virginia 1.
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 28: November 15, 2013 Memory Periphery.
© Digital Integrated Circuits 2nd Sequential Circuits Digital Integrated Circuits A Design Perspective Designing Sequential Logic Circuits Jan M. Rabaey.
PUT JOSH WEB- STREAM HERE. 4/30/2010 Iowa State University EE492 – Senior Design II.
I N V E N T I V EI N V E N T I V E EDA360 - Is End-to-End Design a Riddle, a Rebus, or a Reality? April 6, 2011.
Chap. 1 Overview of Digital Design with Verilog. 2 Overview of Digital Design with Verilog HDL Evolution of computer aided digital circuit design Emergence.
Are classical design flows suitable below 0.18  ? ISPD 2001 NEC Electronics Inc. WR0999.ppt-1 Wolfgang Roethig Senior Engineering Manager EDA R&D Group.
Methodology for effective hierarchical verification of low power designs Ramesh Rajagopalan Cisco Systems Inc, San Jose,
Analog to Digital conversion. Introduction  The process of converting an analog signal into an equivalent digital signal is known as Analog to Digital.
ASIC/FPGA design flow. FPGA Design Flow Detailed (RTL) Design Detailed (RTL) Design Ideas (Specifications) Design Ideas (Specifications) Device Programming.
Design Verification An Overview. Powerful HDL Verification Solutions for the Industry’s Highest Density Devices  What is driving the FPGA Verification.
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Logic Modeling.
ECE Advanced Digital Systems Design Lecture 12 – Timing Analysis Capt Michael Tanner Room 2F46A HQ U.S. Air Force Academy I n t e g r i.
Chonnam national university VLSI Lab 8.4 Block Integration for Hard Macros The process of integrating the subblocks into the macro.
CR-SMASH CR-SMASH for MEMS simulation on UNIX-SUN and HP The semiconductor industry is now mixing electronic circuitry with mechanical and power devices.
Final Simulation Remember that there are lots of different types of simulation!
An accurate and efficient SSO/SSN simulation methodology for 45 nm LPDDR I/O interface Dr. Souvik Mukherjee, Dr. Rajen Murugan (Texas Instruments Inc.)
Stepchenkov Yuri, Zakharov Victor, Rogdestvenski Yuri, Diachenko Yuri, Morozov Nickolai, Stepchenkov Dmitri Institute of Informatics Problems, Federal.
CHAPTER 8 Developing Hard Macros The topics are: Overview Hard macro design issues Hard macro design process Physical design for hard macros Block integration.
Acquisition Crate Design BI Technical Board 26 August 2011 Beam Loss Monitoring Section William Vigano’ 26 August
1 Power estimation in the algorithmic and register-transfer level September 25, 2006 Chong-Min Kyung.
The Interconnect Modeling Company™ High-Speed Interconnect Measurements and Modeling Dima Smolyansky TDA Systems, Inc.
Introduction to MicroElectronics
Berkeley Nucleonics Instrumentation Since 1963…. Management Team David Brown, President 15 Years, BA Management Mel Brown, Director of Finance 45 Years,
Centro de Electrónica Industrial (CEI) | Universidad Politécnica de Madrid | | This work presents a behavioral-analytical hybrid.
PREPARED BY V.SANDHIYA LECT/ ECE UNIT- 3 APPLICATIONS OF OP-AMP 1.
1 Analog versus Digital Information-bearing signals can be either analog or digital. Analog signal takes on a continuous range of amplitude values. Whereas.
FEV And Netlists Erik Seligman CS 510, Lecture 5, January 2009.
04/21/20031 ECE 551: Digital System Design & Synthesis Lecture Set : Functional & Timing Verification 10.2: Faults & Testing.
Mixed Signal STA Ben Farhat – Cadence Design Systems Tau conference – March/2015.
EE694v - Verification - Lect 12
ASIC Design Methodology
Library Characterization
VLSI Testing Lecture 11: Analog Test
VLSI Testing Lecture 5: Logic Simulation
EEE2135 Digital Logic Design Chapter 1. Introduction
VLSI Testing Lecture 5: Logic Simulation
11/14/2018 Changing Paradigms - Fast-Turn RF and Mixed-Signal IP IEEE Annual EDP-2001 Workshop session on Analog/MS Design Flows James Spoto Enablix.
Created by Luis Chioye Presented by Cynthia Sosa
Introduction to Static Timing Analysis:
Timing Analysis 11/21/2018.
ITRS Roadmap Design Process Open Discussion EDP 2001
STATIC TIMING ANALYSIS, CROSS TALK AND NOISE
Submitted by HARSHITHA G H
Presentation transcript:

© 2015 Synopsys, Inc. All rights reserved.1 Timing Analysis in a Mixed Signal World TAU Workshop Panel Session Jim Sproch March 12, 2015

© 2015 Synopsys, Inc. All rights reserved.2 Are Existing Delay Models Useful for Mixed Signal Timing Analysis? Mixed signal designs comprise a mix of digital, analog, and analog-ish circuits Partitioning ideally puts model boundaries at a digital interface Existing delay modeling syntax and semantics were designed for digital circuit applications –Some properties are transferrable to mixed signal –Capacitance, pulse noise, voltage swing, current source/sink –Some analog mixed signal properties are absent –Gain, bandpass, analog noise, frequency response, jitter, phase error, acquisition and tracking range

© 2015 Synopsys, Inc. All rights reserved.3 Abstract Models for Mixed Signal Encapsulation of function and key interface parameters –Functionality, timing, noise, variation (LVF), drive strength –Electromigration (EM), pin load capacitance, static/dynamic power Liberty™ open-source standard for library models –Non-linear table format (NLDM) –Current-source format (CCS, ECSM) –Diverse industry participation via LTAB Complementary interoperability with IEEE-1801 (UPF, CPF) power intent standard

© 2015 Synopsys, Inc. All rights reserved.4 Do Models Work for Mixed Signal? Model interfaces are a challenge for even digital circuits –Non-linear waveform effects –Simultaneous, or near-simultaneous switching –Complex input impedance Models do add value for mixed signal designs –Encapsulation in a black box model for hierarchical verification –Pin-to-pin arcs to support timing propagation Technical challenges –External cross-talk –Impedance shielding –Composite and macro modeling

© 2015 Synopsys, Inc. All rights reserved.5 Transistor-Level Static Timing Analysis STA for custom digital and interface circuits –Hierarchical SPICE netlist and transistor model card input –Exhaustive, vector-free verification of digital timing constraints –Support for digital circuits in an analog context, e.g. DCVSL, SerDes –Support for memories and particular analog-ish circuit topologies Timing constraint coverage –Complete set of combinational and sequential checks –Setup, hold, recovery, removal, min pulse width –Mixed-signal checks include –Differential clock and data signals, sense amp enable, synchronizers, precharge, level shifters, contention resolution –Ultra-fast custom digital circuits: Verilog-A monitor in every DFF instance –Characterization to encapsulate in a Liberty extracted timing model Technical challenges –Full analog circuits, PLL, ADC, DAC, switch-cap, LRC LVsig HVsig VddL VddH

© 2015 Synopsys, Inc. All rights reserved.6 Co-Simulation for Mixed Signal Designs Combine SPICE with a logic simulator Functional, timing, and power-up simulation –High throughput –Excellent accuracy Let SPICE do what SPICE does best, and use fast logic simulation to handle RTL and gate-level circuits –Various SPICE engines, + –SystemVerilog, Verilog, Verilog-A, Verilog-AMS, or VHDL Direct kernel integration of SPICE and logic simulation –Single process, single executable –Multi-level verification Use abstracted models for system-level validation

© 2015 Synopsys, Inc. All rights reserved.7 Bottom Line Mixed signal modeling and timing analysis CAN be done –Enables ultra-fast, ultra-small, ultra-low-power designs –Valuable verification technique to ensure working first silicon Technical challenges –MS circuit timing analysis is not necessarily easy or exhaustive –Labor and compute resources can be expensive –Costs may really explode with increasing number of corners Continuing progress –Modeling interface improvements –Expanding analysis support for analog-ish circuits

© 2015 Synopsys, Inc. All rights reserved.8 Thank You