Tanuj Jindal ∗, Charles J. Alpert‡, Jiang Hu ∗, Zhuo Li‡, Gi-Joon Nam‡, Charles B. Winn‡‡ ∗ Department of ECE, Texas A&M University, College Station, Texas.

Slides:



Advertisements
Similar presentations
Multilevel Hypergraph Partitioning Daniel Salce Matthew Zobel.
Advertisements

Minimum Clique Partition Problem with Constrained Weight for Interval Graphs Jianping Li Department of Mathematics Yunnan University Jointed by M.X. Chen.
Functions and Functional Blocks
Optimization of Placement Solutions for Routability Wen-Hao Liu, Cheng-Kok Koh, and Yih-Lang Li DAC’13.
Xing Wei, Wai-Chung Tang, Yu-Liang Wu Department of Computer Science and Engineering The Chinese University of HongKong
BSPlace: A BLE Swapping technique for placement Minsik Hong George Hwang Hemayamini Kurra Minjun Seo 1.
Reap What You Sow: Spare Cells for Post-Silicon Metal Fix Kai-hui Chang, Igor L. Markov and Valeria Bertacco ISPD’08, Pages
Meng-Kai Hsu, Sheng Chou, Tzu-Hen Lin, and Yao-Wen Chang Electronics Engineering, National Taiwan University Routability Driven Analytical Placement for.
A Size Scaling Approach for Mixed-size Placement Kalliopi Tsota, Cheng-Kok Koh, Venkataramanan Balakrishnan School of Electrical and Computer Engineering.
Ripple: An Effective Routability-Driven Placer by Iterative Cell Movement Xu He, Tao Huang, Linfu Xiao, Haitong Tian, Guxin Cui and Evangeline F.Y. Young.
Principal Component Analysis (PCA) for Clustering Gene Expression Data K. Y. Yeung and W. L. Ruzzo.
Placer Suboptimality Evaluation Using Zero-Change Transformations Andrew B. Kahng Sherief Reda VLSI CAD lab UCSD ECE and CSE Departments.
An ILP-based Automatic Bus Planner for Dense PCBs P. C. Wu, Q. Ma and M. D. F. Wong Department of Electrical and Computer Engineering, University of Illinois.
Intrinsic Shortest Path Length: A New, Accurate A Priori Wirelength Estimator Andrew B. KahngSherief Reda VLSI CAD Laboratory.
Using Statistics in Research Psych 231: Research Methods in Psychology.
Boosting: Min-Cut Placement with Improved Signal Delay Andrew B. KahngSherief Reda CSE & ECE Departments University of CA, San Diego La Jolla, CA
38 th Design Automation Conference, Las Vegas, June 19, 2001 Creating and Exploiting Flexibility in Steiner Trees Elaheh Bozorgzadeh, Ryan Kastner, Majid.
Using Statistics in Research Psych 231: Research Methods in Psychology.
Power-Aware Placement
EE4271 VLSI Design Interconnect Optimizations Buffer Insertion.
Supply Voltage Degradation Aware Analytical Placement Andrew B. Kahng, Bao Liu and Qinke Wang UCSD CSE Department {abk, bliu,
Local Unidirectional Bias for Smooth Cutsize-delay Tradeoff in Performance-driven Partitioning Andrew B. Kahng and Xu Xu UCSD CSE and ECE Depts. Work supported.
Reconfigurable Computing (EN2911X, Fall07)
Placement Feedback: A Concept and Method for Better Min-Cut Placements Andrew B. KahngSherief Reda CSE & ECE Departments University of CA, San Diego La.
Lab for Reliable Computing Generalized Latency-Insensitive Systems for Single-Clock and Multi-Clock Architectures Singh, M.; Theobald, M.; Design, Automation.
1 A Tale of Two Nets: Studies in Wirelength Progression in Physical Design Andrew B. Kahng Sherief Reda CSE Department University of CA, San Diego.
University of Toronto Pre-Layout Estimation of Individual Wire Lengths Srinivas Bodapati (Univ. of Illinois) Farid N. Najm (Univ. of Toronto)
Layout-based Logic Decomposition for Timing Optimization Yun-Yin Lien* Youn-Long Lin Department of Computer Science, National Tsing Hua University, Hsin-Chu,
Interconnect Implications of Growth-Based Structural Models for VLSI Circuits* Chung-Kuan Cheng, Andrew B. Kahng and Bao Liu UC San Diego CSE Dept.
CHAMELEON : A Hierarchical Clustering Algorithm Using Dynamic Modeling
Authors: Jia-Wei Fang,Chin-Hsiung Hsu,and Yao-Wen Chang DAC 2007 speaker: sheng yi An Integer Linear Programming Based Routing Algorithm for Flip-Chip.
MASSOUD PEDRAM UNIVERSITY OF SOUTHERN CALIFORNIA Interconnect Length Estimation in VLSI Designs: A Retrospective.
CRISP: Congestion Reduction by Iterated Spreading during Placement Jarrod A. Roy†‡, Natarajan Viswanathan‡, Gi-Joon Nam‡, Charles J. Alpert‡ and Igor L.
Un/DoPack: Re-Clustering of Large System-on-Chip Designs with Interconnect Variation for Low-Cost FPGAs Marvin Tom* Xilinx Inc.
Horizontal Benchmark Extension for Improved Assessment of Physical CAD Research Andrew B. Kahng, Hyein Lee and Jiajia Li UC San Diego VLSI CAD Laboratory.
March 20, 2007 ISPD An Effective Clustering Algorithm for Mixed-size Placement Jianhua Li, Laleh Behjat, and Jie Huang Jianhua Li, Laleh Behjat,
1 Wire Length Prediction-based Technology Mapping and Fanout Optimization Qinghua Liu Malgorzata Marek-Sadowska VLSI Design Automation Lab UC-Santa Barbara.
Improved Cut Sequences for Partitioning Based Placement Mehmet Can YILDIZ and Patrick H. Madden State University of New York at BinghamtonComputer Science.
Implementation of Finite Field Inversion
Regularity-Constrained Floorplanning for Multi-Core Processors Xi Chen and Jiang Hu (Department of ECE Texas A&M University), Ning Xu (College of CST Wuhan.
Massachusetts Institute of Technology 1 L14 – Physical Design Spring 2007 Ajay Joshi.
Statistics (cont.) Psych 231: Research Methods in Psychology.
1 CS 391L: Machine Learning: Experimental Evaluation Raymond J. Mooney University of Texas at Austin.
Jason Cong‡†, Guojie Luo*†, Kalliopi Tsota‡, and Bingjun Xiao‡ ‡Computer Science Department, University of California, Los Angeles, USA *School of Electrical.
VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 6: Detailed Routing © KLMH Lienig 1 What Makes a Design Difficult to Route Charles.
GLARE: Global and Local Wiring Aware Routability Evaluation Yaoguang Wei1, Cliff Sze, Natarajan Viswanathan, Zhuo Li, Charles J. Alpert, Lakshmi Reddy,
B-Escape: A Simultaneous Escape Routing Algorithm Based on Boundary Routing L. Luo, T. Yan, Q. Ma, M. D.F. Wong and T. Shibuya Department of Electrical.
Congestion Estimation and Localization in FPGAs: A Visual Tool for Interconnect Prediction David Yeager Darius Chiu Guy Lemieux The University of British.
1 Efficient Obstacle-Avoiding Rectilinear Steiner Tree Construction Chung-Wei Lin, Szu-Yu Chen, Chi-Feng Li, Yao-Wen Chang, Chia-Lin Yang National Taiwan.
Fast Algorithms for Slew Constrained Minimum Cost Buffering S. Hu*, C. Alpert**, J. Hu*, S. Karandikar**, Z. Li*, W. Shi* and C. Sze** *Dept of ECE, Texas.
International Workshop on System-Level Interconnection Prediction, Sonoma County, CA March 2001ER UCLA UCLA 1 Wirelength Estimation based on Rent Exponents.
Simultaneous Analog Placement and Routing with Current Flow and Current Density Considerations H.C. Ou, H.C.C. Chien and Y.W. Chang Electronics Engineering,
1 Synthesizing Datapath Circuits for FPGAs With Emphasis on Area Minimization Andy Ye, David Lewis, Jonathan Rose Department of Electrical and Computer.
"Fast estimation of the partitioning Rent characteristic" Fast estimation of the partitioning Rent characteristic using a recursive partitioning model.
International Symposium on Physical Design San Diego, CA April 2002ER UCLA UCLA 1 Routability Driven White Space Allocation for Fixed-Die Standard-Cell.
FPGA Logic Cluster Design Dr. Philip Brisk Department of Computer Science and Engineering University of California, Riverside CS 223.
CprE566 / Fall 06 / Prepared by Chris ChuPartitioning1 CprE566 Partitioning.
Faster Symmetry Discovery using Sparsity of Symmetries Paul T. Darga Karem A. Sakallah Igor L. Markov The University of Michigan.
Multilevel Partitioning
Interconnect Characteristics of 2.5-D System Integration Scheme Yangdong (Steven) Deng & Wojciech P. Maly
An O(bn 2 ) Time Algorithm for Optimal Buffer Insertion with b Buffer Types Authors: Zhuo Li and Weiping Shi Presenter: Sunil Khatri Department of Electrical.
An O(nm) Time Algorithm for Optimal Buffer Insertion of m Sink Nets Zhuo Li and Weiping Shi {zhuoli, Texas A&M University College Station,
Dirk Stroobandt Ghent University Electronics and Information Systems Department Multi-terminal Nets do Change Conventional Wire Length Distribution Models.
Inferential Statistics Psych 231: Research Methods in Psychology.
A Snap-On Placement Tool Israel Waldman. Introduction.
Prediction of Interconnect Net-Degree Distribution Based on Rent’s Rule Tao Wan and Malgorzata Chrzanowska- Jeske Department of Electrical and Computer.
Andrew B. Kahng and Xu Xu UCSD CSE and ECE Depts.
Revisiting and Bounding the Benefit From 3D Integration
Design Hierarchy Guided Multilevel Circuit Partitioning
Psych 231: Research Methods in Psychology
Presentation transcript:

Tanuj Jindal ∗, Charles J. Alpert‡, Jiang Hu ∗, Zhuo Li‡, Gi-Joon Nam‡, Charles B. Winn‡‡ ∗ Department of ECE, Texas A&M University, College Station, Texas ‡ IBM Austin Research Lab, Austin, Texas ‡‡IBM Systems and Technology Group, Essex Junction, Vermont Detecting Tangled Logic Structures in VLSI Netlists DAC 2010

Outline Introduction Related work Metrics for Tangled logic structures A method to find groups of tangled-logic Experimental results Conclusions

Introduction Most of the placement literature and all academic placers assume that logic information is absent and operate purely at the gate level, instead of relying on hierarchical information. Certain groups of logic will invariably have a higher degree of inter-connectivity than other groups. Let GTL denote a group of tangled logic.

GTL Potential Applications Routability Since a GTL has high interconnectivity, placement engine will naturally want to pull the cells tightly together which often will create a routing hotspot. Floorplanning Since a GTL will stay together during placement, the designer may wish to form a soft block for the gates in the GTL Logic re-synthesis Prior to placement, a GTL could be resynthesized or re-instantiated to utilize more area, but less interconnect, thereby reducing potential hotspots.

Compare with Conventional Clustering Conventional clusteringThis work ObjectiveProvide a reduction in problem size Interested in identifying much larger special logic structure Cluster sizeTwo to ten cellsHundreds to thousands of cells ViewGenerally local viewGlobal view Cover entire netlist? Requires each cell to belong to a cluster Identify only a small fraction of cells

Related Work In summary, none of the clustering literature compares clusters of different sizes without biasing towards either smaller or bigger clusters. Our metrics are the first to do so. Let the input netlist be represented as a hypergraph G = (V,E) Notationdefinition VSet of cells ESet of nets CA cluster T(C)Net cut

Related Work Related workCluster scoreInsufficient Absorption[4]a metric that counts the number of internal connections will grow with cluster size Ratio Cut[5]T(C) grows much slower than cluster size Ng et al. [6]monotonically decreases with size as C grows. Hagen et al. [7]DS (Degree Separation) metric 1.Fails to look at the external connection of cluster 2.Only reflect the overall quality of clustering Other sophisticated metrics[8][9][10] are potentially useful for GTL require long computation time

Metrics for Tangled Logic Structures Our metrics are motivated by the need (i) compare clusters of different sizes and (ii) measure the tangledness of the group of cells Start with the ratio cut RC and Rent metric Rent for each cluster C The problem with both metrics is that the numerator (related to cut) and the denominator (related to cluster size) do not scale together.

Metrics for Tangled Logic Structures Rent ’ s rule T(C) = A G |C| p A G is the average pin count of the cell. From Rent ’ s rule, we know that T (C ) should grow proportionally to |C | p, where p is the Rent exponent Thus, we define the GTL-Score as

Metrics for Tangled Logic Structures According to Rent ’ s rule, then A G is the expected value of GTL-S(C). Further refine our metric to the normalized GTL-Score But the nGTL does not consider internal connectivity.

Metrics for Tangled Logic Structures For a logic structure to be tangled, it should have significantly more internal connectivity versus external connectivity. We need to capture the notion of pin-density without disturbing the essence of the normalized GTL metric A C is the average pin count of cells in the group.

A Method to Find Groups of Tangled Logic This method consists of three phases: Phase I: linear ordering generation. Phase II: initial candidate GTL generation. Phase III: GTL refinement and pruning.

Phase I: linear ordering generation

Phase II: initial candidate GTL generation

Phase III: GTL refinement and pruning. A candidate GTL grown from a random seed might be slightly inaccurate.(at the boundary) For each candidate B i obtained in Phase II, we generate another set of candidates B i,1,B i,2,...,B i,l using seeds inside Bi and the same procedure as Phase I and II Then, union and intersection operations are performed on {B i,B i,1,B i,2,...,B i,l } to find best candidate This procedure is carried out for all initial candidates in B to obtain a set of refined candidates

Phase III: GTL refinement and pruning. These refined candidates are compared with each other. If one has overlap with another and inferior GTL-Score, it is pruned out. The disjoint candidates remained at the end is the final set of GTLs All the three phases mentioned above can be computed for all m initial seeds in parallel with no interdependence. The only serial part of algorithm is the final comparison between m refined GTLs

Experiments on Random Graphs

Experiments on ISPD Benchmarks

Experiments on an Industrial Circuit

Conclusion This paper introduces a new problem of finding tangled logic structures from synthesized netlists Our new metrics are the first ones to enable the comparison of clusters of different sizes and are normalized so that one can develop standards for tangled logic across a variety of netlists We demonstrate a possible algorithm for discovering these structures and show how simply inflating the corresponding cells leads to much better routability after placement.