Andrew Byun Kahng 1 and Rasit Onur Topaloglu 1,2 1 University of California at San Diego Computer Science and Engineering Department 2 Advanced Micro Devices.

Slides:



Advertisements
Similar presentations
Agenda Semiconductor materials and their properties PN-junction diodes
Advertisements

Polygon Scan Conversion – 11b
Evaluating Window Joins over Unbounded Streams Author: Jaewoo Kang, Jeffrey F. Naughton, Stratis D. Viglas University of Wisconsin-Madison CS Dept. Presenter:
Robust Window-based Multi-node Technology- Independent Logic Minimization Jeff L.Cobb Kanupriya Gulati Sunil P. Khatri Texas Instruments, Inc. Dept. of.
Lecture 5: Time-varying EM Fields
THERMAL-AWARE BUS-DRIVEN FLOORPLANNING PO-HSUN WU & TSUNG-YI HO Department of Computer Science and Information Engineering, National Cheng Kung University.
Hierarchical Dummy Fill for Process Uniformity Supported by Cadence Design Systems, Inc. NSF, and the Packard Foundation Y. Chen, A. B. Kahng, G. Robins,
An Introduction to Matching and Layout Alan Hastings Texas Instruments
Design and Use of Memory-Specific Test Structures to Ensure SRAM Yield and Manufacturability F. Duan, R. Castagnetti, R. Venkatraman, O. Kobozeva and S.
Microwave Engineering
Defect Tolerance for Yield Enhancement of FPGA Interconnect Using Fine-grain and Coarse-grain Redundancy Anthony J. YuGuy G.F. Lemieux September 15, 2005.
Copyright © Cengage Learning. All rights reserved.
Mani Srivastava UCLA - EE Department Room: 6731-H Boelter Hall Tel: WWW: Copyright 2003.
Caleb Serafy and Ankur Srivastava Dept. ECE, University of Maryland
Design Rule Generation for Interconnect Matching Andrew B. Kahng and Rasit Onur Topaloglu {abk | rtopalog University of California, San Diego.
-1- VLSI CAD Laboratory, UC San Diego Post-Routing BEOL Layout Optimization for Improved Time- Dependent Dielectric Breakdown (TDDB) Reliability Tuck-Boon.
EE141 © Digital Integrated Circuits 2nd Wires 1 The Wires Dr. Shiyan Hu Office: EERC 731 Adapted and modified from Digital Integrated Circuits: A Design.
UCLA Modeling and Optimization for VLSI Layout Professor Lei He
DPIMM-II 2003 UCSD VLSI CAD LAB Compression Schemes for "Dummy Fill" VLSI Layout Data Robert Ellis, Andrew B. Kahng and Yuhong Zheng ( Texas A&M University.
Copyright © 2014 John Wiley & Sons, Inc. All rights reserved.
0 1 Width-dependent Statistical Leakage Modeling for Random Dopant Induced Threshold Voltage Shift Jie Gu, Sachin Sapatnekar, Chris Kim Department of Electrical.
Noise Model for Multiple Segmented Coupled RC Interconnects Andrew B. Kahng, Sudhakar Muddu †, Niranjan A. Pol ‡ and Devendra Vidhani* UCSD CSE and ECE.
Rasit Onur Topaloglu University of California San Diego Computer Science and Engineering Department Ph.D. candidate “Location.
Dual Graph-Based Hot Spot Detection Andrew B. Kahng 1 Chul-Hong Park 2 Xu Xu 1 (1) Blaze DFM, Inc. (2) ECE, University of California at San Diego.
ISQED’2015: D. Seemuth, A. Davoodi, K. Morrow 1 Automatic Die Placement and Flexible I/O Assignment in 2.5D IC Design Daniel P. Seemuth Prof. Azadeh Davoodi.
Power-Aware Placement
Reticle Floorplanning With Guaranteed Yield for Multi-Project Wafers Andrew B. Kahng ECE and CSE Dept. University of California San Diego Sherief Reda.
Supply Voltage Degradation Aware Analytical Placement Andrew B. Kahng, Bao Liu and Qinke Wang UCSD CSE Department {abk, bliu,
Study of Floating Fill Impact on Interconnect Capacitance Andrew B. Kahng Kambiz Samadi Puneet Sharma CSE and ECE Departments University of California,
Practical Iterated Fill Synthesis for CMP Uniformity Supported by Cadence Design Systems, Inc. Y. Chen, A. B. Kahng, G. Robins, A. Zelikovsky (UCLA, UVA.
Fast and Area-Efficient Phase Conflict Detection and Correction in Standard-Cell Layouts Charles Chiang, Synopsys Andrew B. Kahng, UC San Diego Subarna.
DPIMM-03 1 Performance-Impact Limited Area Fill Synthesis Yu Chen, Puneet Gupta, Andrew B. Kahng (UCLA, UCSD) Supported by Cadence.
On-Line Adjustable Buffering for Runtime Power Reduction Andrew B. Kahng Ψ Sherief Reda † Puneet Sharma Ψ Ψ University of California, San Diego † Brown.
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
Circuit Performance Variability Decomposition Michael Orshansky, Costas Spanos, and Chenming Hu Department of Electrical Engineering and Computer Sciences,
Detailed Placement for Leakage Reduction Using Systematic Through-Pitch Variation Andrew B. Kahng †‡ Swamy Muddu ‡ Puneet Sharma ‡ CSE † and ECE ‡ Departments,
Topography-Aware OPC for Better DOF margin and CD control Puneet Gupta*, Andrew B. Kahng*†‡, Chul-Hong Park†, Kambiz Samadi†, and Xu Xu‡ * Blaze-DFM Inc.
A Novel 2D To 3D Image Technique Based On Object- Oriented Conversion.
Design of Integrated-Circuit Interconnects with Accurate Modeling of Chemical-Mechanical Planarization Lei He, Andrew B. Kahng* #, Kingho Tam, Jinjun Xiong.
UC San Diego Computer Engineering. VLSI CAD Laboratory.. UC San Diego Computer EngineeringVLSI CAD Laboratory.. UC San Diego Computer EngineeringVLSI CAD.
The Effect of Soil Hydraulic Properties and Deep Seepage Losses on Drainage Flow using DRAINMOD Debjani Deb 26 th April, 2004.
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
Noise and Delay Uncertainty Studies for Coupled RC Interconnects Andrew B. Kahng, Sudhakar Muddu † and Devendra Vidhani ‡ UCLA Computer Science Department,
More Realistic Power Grid Verification Based on Hierarchical Current and Power constraints 2 Chung-Kuan Cheng, 2 Peng Du, 2 Andrew B. Kahng, 1 Grantham.
UC San Diego / VLSI CAD Laboratory Reliability-Constrained Die Stacking Order in 3DICs Under Manufacturing Variability Tuck-Boon Chan, Andrew B. Kahng,
Chapter 22 Alternating-Current Circuits and Machines.
A Methodology for Interconnect Dimension Determination By: Jeff Cobb Rajesh Garg Sunil P Khatri Department of Electrical and Computer Engineering, Texas.
MGR: Multi-Level Global Router Yue Xu and Chris Chu Department of Electrical and Computer Engineering Iowa State University ICCAD
1 Hybrid methods for solving large-scale parameter estimation problems Carlos A. Quintero 1 Miguel Argáez 1 Hector Klie 2 Leticia Velázquez 1 Mary Wheeler.
Research on Analysis and Physical Synthesis Chung-Kuan Cheng CSE Department UC San Diego
Seongbo Shim, Yoojong Lee, and Youngsoo Shin Lithographic Defect Aware Placement Using Compact Standard Cells Without Inter-Cell Margin.
TSV-Aware Analytical Placement for 3D IC Designs Meng-Kai Hsu, Yao-Wen Chang, and Valerity Balabanov GIEE and EE department of NTU DAC 2011.
NTUEE 1 Coupling-Constrained Dummy Fill for Density Gradient Minimization Huang-Yu Chen 1, Szu-Jui Chou 2, and Yao-Wen Chang 1 1 National Taiwan University,
Capacitance Chapter 25 Copyright © 2014 John Wiley & Sons, Inc. All rights reserved.
Kwangsoo Han, Andrew B. Kahng, Hyein Lee and Lutong Wang
Kwangsoo Han‡, Andrew B. Kahng‡† and Hyein Lee‡
Closing the Smoothness and Uniformity Gap in Area Fill Synthesis Supported by Cadence Design Systems, Inc., NSF, the Packard Foundation, and State of Georgia’s.
 Chemical-Mechanical Polishing (CMP)  Rotating pad polishes each layer on wafers to achieve planarized surfaces  Uneven features cause polishing pad.
Process Variation Mohammad Sharifkhani. Reading Textbook, Chapter 6 A paper in the reference.
An Efficient Linear Time Triple Patterning Solver Haitong Tian Hongbo Zhang Zigang Xiao Martin D.F. Wong ASP-DAC’15.
1 Interconnect/Via. 2 Delay of Devices and Interconnect.
Capacitance Chapter 25 Copyright © 2014 John Wiley & Sons, Inc. All rights reserved.
ApproxHadoop Bringing Approximations to MapReduce Frameworks
-1- Delay Uncertainty and Signal Criticality Driven Routing Channel Optimization for Advanced DRAM Products Samyoung Bang #, Kwangsoo Han ‡, Andrew B.
Capacitance Chapter 25. Capacitance A capacitor consists of two isolated conductors (the plates) with charges +q and -q. Its capacitance C is defined.
Slide 1 SLIP 2004 Payman Zarkesh-Ha, Ken Doniger, William Loh, and Peter Bendix LSI Logic Corporation Interconnect Modeling Group February 14, 2004 Prediction.
On-Chip Power Network Optimization with Decoupling Capacitors and Controlled-ESRs Wanping Zhang1,2, Ling Zhang2, Amirali Shayan2, Wenjian Yu3, Xiang Hu2,
Copyright © 2014 John Wiley & Sons, Inc. All rights reserved.
Yiyu Shi*, Wei Yao*, Jinjun Xiong+ and Lei He*
Integrated tool set for electro-thermal evaluation of ICs
Presentation transcript:

Andrew Byun Kahng 1 and Rasit Onur Topaloglu 1,2 1 University of California at San Diego Computer Science and Engineering Department 2 Advanced Micro Devices Compact Modeling & Characterization A DOE Set for Normalization-Based Extraction of Fill Impact on Capacitances

Outline  Motivation  Introduction  Industy Needs to Incorporate the Impact of Fills  Previous Work  Motivation  Proposed Normalization-Based Flow  Fill DOE Used in the Proposed Flow  Proposed DOE Structure  Basic DOE Algorithm  Incorporation of Inter-Layer Coupling  Experimental Results and Analyses Based on Fill DOE  Summary, Conclusions and Acknowledgments

TODO LIST CMP keepoff rule patent reference compact modeling for prev. work

Introduction  In order to reduce the metal height variations within a die, (dummy, CMP) fills are added to the layout of metal layers.  Addition of fills can be either handled by the design house, mask house, or the foundry.  As fills are inserted to reduce the thickness variations caused by chemical-mechanical polishing, ideally, they should not alter the capacitances of and between interconnects.  Although design rules help reduce the increase in capacitances, these rules are not sufficient to eliminate the impact of fills on capacitances:  for inter-layer second neighboring layer coupling : no design rule available!  for inter-layer first neighboring layer coupling : no design rule available!  for intra-layer coupling : keep-off design rule used

Industry Needs to Incorporate the Impact of Fills  Accurate analysis of fill impact on capacitances  Design of experiments to compare different  Fill types  Staggered  Two-pass  Traditional  Stack parameters  Metal height  Multiple inter-layer dielectric stacks  Different dielectric constants and heights  Design parameters  Fill width  Fill to fill spacing  Keep-off distance  Metal width  Metal orientations

Previous Work  Graph node reduction-based methods  Estimates voltage on floating fills by interpolating neighboring interconnect voltages  Assuming charge on a floating fill as 0, computes all the coupling capacitances  Reduces capacitances through graph node updates such that there is no coupling capacitance between a fill and an interconnect Cif Ckf Cjf Cik`Cjk` Cik Cjk  Fill nodes are dropped one by one through node eliminations and capacitance updates  Can be a very slow process [S. Batterywala, R. Ananthakrishna, Y. Luo and A. Gyure, “A Statistical Method for Fast and Accurate Capacitance Extraction in the Presence of Floating Dummy Fills”, Int. Conf. on VLSI Design, 2006]

Previous Work  A modified random walk method proposed to incorporate the floating fills [S. Batterywala, R. Ananthakrishna, Y. Luo and A. Gyure, “A Statistical Method for Fast and Accurate Capacitance Extraction in the Presence of Floating Dummy Fills”, Int. Conf. on VLSI Design, 2006] 1 2  Start random walks on a Gaussion surface  Solve electrostatic equations using Gauss’s Law  Random walk starts at a Gaussian surface, ends at the same or another interconnect, yielding a coupling capacitance estimate  Potential of fills estimated using an integration over their surface  Results would be limited to small layouts for a reasonable runtime

 Assuming Fills as Grounded:  Initial approximations were based on this assumption  Although a fill is floating and not electrically connected, it is assumed to be grounded in this assumption  Grounding a fill results in  2x and 10x underestimation of first and second layer coupling  Overestimation of total capacitances Known Common Approximation Methods

 Assuming Fills as Merged:  Fills in the same layer are assumed to be merged using the convex hull of neighboring fills such that no interconnect is present in the hull  Merging fills results in  Up to 23x overestimation for intra-layer coupling for small keep-off distances  4x underestimation of second neighboring coupling  2x over or underestimation of first neighboring layer coupling  Another extension of merging fills is taking the fill density as the input to extraction  Different fill patterns are known to have different couplings, especially for inter-layer capacitances and even intra-layer coupling when keep-off distance is small

Motivation  Metal fills, which are used to reduce thickness variations due to chemical- mechanical polishing (CMP), increase the capacitances in a circuit  Although current extraction tools are accurate for grounded fills and regular interconnects, for floating fills, these tools are based on approximations:  assuming that floating fills are grounded  each fill is merged with neighboring ones  Such approximations result in significant inaccuracies  More methodological techniques have unmanagable runtimes or memory requirements  A methodology is needed to incorporate impact of fills without significantly changing the industry-standard extraction mechanism as well as using the power of current extraction tools within managable time

Proposed Flow  Current extraction tools do not have a sufficient DOE for floating fills, although DOE for regular interconnects is sufficient  Our proposed method consists of a parameterized field solver DOE and normalization of results to enable a normalization-based extraction methodology for fills Traditional Flow Input GDS for interconnects and fills Run extraction tool Get extraction results Proposed Flow Input GDS for interconnects only Run extraction tool Input GDS for interconnects only Run fill DOE Incrementally update extraction results  A major item is the fill DOE for the enablement of this flow. In this paper, we focus on the normalization based fill DOE details and analyses

Notes on Proposed Flow  Proposed flow makes it possible to compare impact of different fill algorithms using results of the same extraction for interconnects with no fills in between.  Run an extraction tool over the interconnects with no fills first  This step accurately handled by the current extraction tools  Update the impact of fills on coupling and total capacitances using a normalization step  The normalization is done with respect to the same structure and interconnect parameters without any fills in between interconnects  The normalized data in the capacitance tables are then used to convert the result of extraction with no fills to accurate results accounting for the presence of floating fills  We use accurate 3D field solutions for our DOE and hence the results will be much more accurate than known approximations GDS with no fillGDS with fills

Basic DOE Structure  Herein we provide the basic structure for our DOE’s  Our DOE can be used both for analysis and characterization of a process, as well as generating capacitance tables  A large structure will exponentially increase simulation time  The main structure consists of 5 metal layers, where M+2 and M-2 are GND  Vertical layers are on layer M, horizontal lines are on layers M-1 and M+1  Fills are inserted in layers M-1, M and M+1 Top (layout) viewSide view

Basic DOE Structure in 3D  M+2 and M-2 are ground planes : not shown  Using symmetric (Neumann) boundaries, intra-layer, first neighboring layer, and second neighboring layer coupling capacitances can be computed

Basic DOE Algorithm and Parameters 1. foreach w f =w f min :w f inc :w f max { 2. foreach w s =w s min :w s inc :w s max { 3. foreach w m =w m min :w m inc :w m max { 4. foreach c f =c f min :c f inc :c f max { 5. Run field solver over parameterized structure and add normalized results to the table } } } }  Other design and technology parameters added to this algorithm  Description of used parameters:  w m : metal width  w f : fill width  w s : fill spacing  Fill shift  Metal height  Dielectric height  Dielectric constant  c f : Number of fill columns  Keepoff distance  Fill algorithm specific ratios

First and Second Neighboring Layer Coupling  Assume fills and vertical interconnects on layer M; horizontal interconnects on layers M+1 and M-1  Location of fills will impact M-1 to M+1 coupling as well as M-1 to M and M to M+1 couplings Top (layout) view  Shifting the fills on layer M, overlap between layer M+1 and M fills are reduced, which results in reduced coupling  A shift factor is introduced as a parameter to evaluate the impact of fill shifts on coupling  Shift factor is normalized with respect to the fill pitch

Modification for First Neighboring Layer Parallel Line Coupling  Modification needed to extract coupling between neighboring layer parallel lines Side view  As lines are shifted, fills next to the lines will couple to the neighboring layer line  DOE structure modified by orthogonally rotating one of the layers  A shift parameter included in the basic DOE algorithm M1 M-1 M+1

Experimental Design and Process Parameters Metal width (  m) {0.1,0.2,0.3,0.4} Fill width (  m) {0.4,0.45,0.5,0.55} Fill spacing (  m) {0.1,0.25,0.5,0.55} Fill shift (x){0.25,0.5,0.75,1} Metal height (  m) {0.3,0.4} Dielectric height (  m) {0.3,0.4} Dielectric constant{3.1,2.8} Number of fill columns{1,2,3} Keepoff distance (  m) {0.3,0.5,0.7} Parameters for Standard Fill Algorithm In addition to standard fill parameters Stagger Amount (  m) {0.2,0.25,0.275} Number of fill columns{2,3,4} Parameters for Staggered Fill Algorithm In addition to standard fill parameters Two-pass ratio{2,3} Parameters for Two-pass Fill Algorithm  We have used 3 common fill algorithms in our DOE:  Standard  Staggered  Two-pass

Impact of Shift on Neighboring Layer Coupling  Each data point belongs to 6 field solver experiments  Maximum coupling change as a function of shift plotted after normalization  Fill shift alone can impact up to 5% for neighboring layer orthogonal lines

Fill Width Dependency of Intra-Layer Coupling for Different Number of Fill Columns  Lower number of columns and higher metal widths increases coupling  As number of columns is increased, flux is pulled to neighboring layer interconnects M-1,M+1 M+1 M-1,M+1 M+1

Fill Spacing Dependency of Intra-Layer Coupling for Different Number of Fills Columns  Lower number of columns and lower fill to fill spacing increases coupling M-1,M+1 M+1 M-1,M+1 M+1

Inter Neighboring Parallel Line Coupling Dependency on Amount of Shift and Metal Width  Larger widths increase coupling as expected  Shifting fills increase coupling through the fill volume Side view M1 M-1

Increase in Coupling for DOE, Merged and Grounded as a Function of Metal Width  Merged fills overestimate coupling, whereas grounded fills neglect coupling

Fill Shift Dependency of Second Layer Coupling for Different Fill Spacings  Larger fill to fill spacing decreases coupling  Shifting fill away decreases coupling

Fill Shift Dependency of Second Layer Coupling for Different Metal Widths  Larger metal widths result in more coupling  Shifting fill away decreases coupling

Summary of Experimental Results STANDARDDOEMergedGroundedMax.Coupling/TotalMin.Coupling/Total intra-layer %0% first-layer %17.11% second-layer %2.38% STAGGEREDDOEMergedGroundedMax.Coupling/TotalMin.Coupling/Total intra-layer %0% first-layer %16.32% second-layer %0% 2-PASSDOEMergedGroundedMax.Coupling/TotalMin.Coupling/Total intra-layer E %0.91% first-layer %15.91% second-layer %3.57%

Summary of Contributions  A flow based on normalized field solver DOE’s to accurately handle floating fills using the capability of current extraction tools  Detailed field solver DOE’s to implement the normalization-based extraction methodology  A basic DOE structure to minimize the number of simulations  Identification of important fill and design parameters  Analysis of how each parameter affects the coupling capacitances  A comparison of standard, staggered and two-pass fill algorithms  Consideration of first and second neighboring layer inter-layer coupling capacitances during the methodology

Conclusions Acknowledgments  We would like to thank David Overhauser and Sam Nakagawa for valuable discussions which improved our understanding of the problems the industry faces to incorporate fills in extraction flows, and which helped us to direct this work to concisely target these problems.  Using the fill algorithms and the assumed process and design parameters:  Standard fills can result in an average 2.3x intra layer, 8% and 12% first and second inter layer coupling  Staggered fills can result in an average 2.6x intra layer, 13% and 15% first and second inter layer coupling  Two-pass fills can result in an average 5.3x intra layer, 11% and 2% first and second inter layer coupling  Fill shifts can alter neighboring layer orthogonal line coupling by up to 5%  Proposed normalization based DOE can enable accurate chacterization of fill impact and extraction