Total Dose Response of HfSiON MOS Capacitors

Slides:



Advertisements
Similar presentations
Semiconductor detectors
Advertisements

Role of Hydrogen in Radiation Response of Lateral PNP Bipolar Transistors I.G.Batyrev 1, R. Durand 2, D.R.Hughart 2, D.M.Fleetwood 2,1, R.D.Schrimpf 2,M.Law.
F.R.Palomo, et al.MOS Capacitor DDD Dosimeter 1/13 RD50 Workshop - CERN – November 2012 MOS Capacitor Displacement Damage Dose (DDD) Dosimeter F.R.
High-K Dielectrics The Future of Silicon Transistors
INFLUENCE OF GAMMA IRRADIATION ON SILICON NITRIDE MIS CAPACITORS AND RADIATION HARDNESS Dr. Ercan YILMAZ Abant Izzet Baysal University Bolu-Turkey.
Radiation damage in SiO2/SiC interfaces
A-Si:H application to Solar Cells Jonathon Mitchell Semiconductors and Solar Cells.
Mobility Chapter 8 Kimmo Ojanperä S , Postgraduate Course in Electron Physics I.
Surface micromachining
Nanocrystal Non-volatile Memory Devices Kedar Patel Liu et al (April 2006) Blauwe (Trans. on Nanotechnology, March 2002) Lin et al (TED, April 2006)
MURI Total Ionizing Dose Effects in Bulk Technologies and Devices Hugh Barnaby, Jie Chen, Ivan Sanchez Department of Electrical Engineering Ira A. Fulton.
VFET – A Transistor Structure for Amorphous semiconductors Michael Greenman, Ariel Ben-Sasson, Nir Tessler Sara and Moshe Zisapel Nano-Electronic Center,
Measurement and modeling of hydrogenic retention in molybdenum with the DIONISOS experiment G.M. Wright University of Wisconsin-Madison, FOM – Institute.
Page 1 Band Edge Electroluminescence from N + -Implanted Bulk ZnO Hung-Ta Wang 1, Fan Ren 1, Byoung S. Kang 1, Jau-Jiun Chen 1, Travis Anderson 1, Soohwan.
Total Dose Effects on Devices and Circuits - Principles and Limits of Ground Evaluation-
Reliability of ZrO 2 films grown by atomic layer deposition D. Caputo, F. Irrera, S. Salerno Rome Univ. “La Sapienza”, Dept. Electronic Eng. via Eudossiana.
Radiation Effects on Emerging Electronic Materials and Devices Ron Schrimpf Vanderbilt University Institute for Space and Defense Electronics.
OXIDE AND INTERFACE TRAPPED CHARGES, OXIDE THICKNESS
Properties of HfO 2 Deposited on AlGaN/GaN Structures Using e-beam Technique V. Tokranov a, S. Oktyabrsky a, S.L. Rumyantsev b, M.S. Shur b, N. Pala b,c,
Radiation Effects on Emerging Electronic Materials and Devices Leonard C. Feldman Vanderbilt University Department of Physics and Astronomy Vanderbilt.
EXAMPLE 6.1 OBJECTIVE Fp = 0.288 V
Process Monitor/TID Characterization Valencia M. Joyner.
University of California Santa Barbara Yingda Dong Molecular Beam Epitaxy of Low Resistance Polycrystalline P-Type GaSb Y. Dong, D. Scott, Y. Wei, A.C.
Advanced Materials Research Center, AMRC, International SEMATECH Manufacturing Initiative, and ISMI are servicemarks of SEMATECH, Inc. SEMATECH, the SEMATECH.
The deposition of amorphous indium zinc oxide (IZO) thin films on glass substrates with n-type carrier concentrations between and 3x10 20 cm -3 by.
6/4/2016 I. Shlimak "C-V characteristics..." 1 Electron tunneling between surface states and implanted Ge atoms in Si-MOS structures with Ge nanocrystals.
1 S.K. Dixit 1, 2, X.J. Zhou 3, R.D. Schrimpf 3, D.M. Fleetwood 3,4, S.T. Pantelides 4, G. Bersuker 5, R. Choi 5, and L.C. Feldman 1, 2, 4 1 Interdisciplinary.
Introduction Amorphous arrangement of atoms means that there is a possibility that multiple Si atoms will be connected Amorphous arrangement of atoms means.
Vanderbilt MURI meeting, June 14 th &15 th 2007 Band-To-Band Tunneling (BBT) Induced Leakage Current Enhancement in Irradiated Fully Depleted SOI Devices.
MURI kick-off: 5/10/05 Total-Dose Response and Negative-Bias Temperature Instability (NBTI) D. M. Fleetwood Professor and Chair, EECS Dept. Vanderbilt.
ATOMIC-SCALE THEORY OF RADIATION-INDUCED PHENOMENA Sokrates T. Pantelides Department of Physics and Astronomy, Vanderbilt University, Nashville, TN The.
Effects of Surrounding Materials on Proton-Induced Energy Deposition in Large Silicon Diode Arrays Christina L. Howe 1, Robert A. Weller 1, Robert A. Reed.
Novel Metal-Oxide-Semiconductor Device
Design and Assessment of a Robust Voltage Amplifier with 2.5 GHz GBW and >100 kGy Total Dose Tolerance Jens Verbeeck TWEPP 2010.
Chunxiang Zhu 1, Hang Hu 1, Xiongfei Yu 1, SJ Kim 1, Albert Chin 2, M. F. Li 1,4, Byung Jin Cho 1, and D. L. Kwong 3 1 SNDL, Dept. of ECE, National Univ.
Hydrogen Studying the Effect of Molecular Hydrogen on Silicon Device Radiation Response Using Gated Bipolar Transistors Jie Chen, David Wright, and.
Characterization of irradiated MOS-C with X-rays using CV-measurements and gated diode techniques Q. Wei, L. Andricek, H-G. Moser, R. H. Richter, Max-Planck-Institute.
Doping-type Dependence of Damage in Si Diodes Exposed to X-ray, Proton, and He + Irradiation MURI Meeting - June 2007 M. Caussanel 1, A. Canals 2, S. K.
Fowler-Nordheim Tunneling in TiO2 for room temperature operation of the Vertical Metal Insulator Semiconductor Tunneling Transistor (VMISTT) Lit Ho Chong,Kanad.
Effects of Device Aging on Microelectronics Radiation Response and Reliability D. M. Fleetwood, M. P. Rodgers, L. Tsetseris, X. J. Zhou, I. Batyrev, S.
Radiation Damage Quick Study Edward Cazalas 3/27/13.
June 13, MURI Annual Review X. J. Zhou, et al 1 Effects of Switched-Bias Annealing on Charge Trapping in HfO 2 high-  Gate Dielectrics X. J.
Thermal annealing effect of tetrahedral amorphous carbon films deposited by filtered vacuum arc Youngkwang Lee *†,Tae-Young Kim*†, Kyu Hwan Oh†, Kwang-Ryeol.
ATOMIC-SCALE THEORY OF RADIATION-INDUCED PHENOMENA Sokrates T. Pantelides Department of Physics and Astronomy, Vanderbilt University, Nashville, TN and.
June MURI Review1 Total Dose Response of HfO 2 /Dy 2 O 3 on Ge and Hf 0.6 Si 0.2 ON 0.2 on Si MOS Capacitors D. K. Chen, R. D. Schrimpf, D. M.
Fabrication of Lanthanum Oxide Nanostructures using Extremely Non- Equilibrium Plasma and their Characterization Onkar Mangla and M. P. Srivastava Onkar.
Radiation Hardness of DEPFET Pixel Sensors Andreas Ritter IMPRS - Young Scientist Workshop 2010, Ringberg 1.
Date of download: 7/8/2016 Copyright © 2016 SPIE. All rights reserved. Cross section of capacitor TEG. Figure Legend: From: Dielectric-thickness dependence.
CHAPTER 6: MOSFET & RELATED DEVICES CHAPTER 6: MOSFET & RELATED DEVICES Part 1.
MOSCAP Characterization of SNF ALD
UNIT II : BASIC ELECTRICAL PROPERTIES
L ECE 4243/6243 Fall 2016 UConn F. Jain Notes Chapter L11 (page ). FET Operation slides Scaling Laws of FETs (slides 9-22)
Chapter 6 The Field Effect Transistor
Lecture 18 OUTLINE The MOS Capacitor (cont’d) Effect of oxide charges
NBTI and Spin Dependent Charge Pumping in 4H-SiC MOSFETs
Recall Last Lecture Common collector Voltage gain and Current gain
Introduction Thin films of hydrogenated amorphous silicon (a-Si:H) are used widely in electronic, opto-electronic and photovoltaic devices such as thin.
Revision CHAPTER 6.
Kai Nia, Enxia Zhanga, Ronald D. Schrimpfa,
Materials and Devices for Neural Systems and Interfaces
TCAD Simulations of Silicon Detectors operating at High Fluences D
MOS Capacitor Basics Metal SiO2
Lecture 18 OUTLINE The MOS Capacitor (cont’d) Effect of oxide charges
Mechanical Stress Effect on Gate Tunneling Leakage of Ge MOS Capacitor
Lecture 19 OUTLINE The MOS Capacitor (cont’d) The MOSFET:
Lecture 19 OUTLINE The MOS Capacitor (cont’d) The MOSFET:
Modern Semiconductor Devices for Integrated Circuits (C. Hu)
Sung June Kim Chapter 18. NONIDEAL MOS Sung June Kim
MOS Capacitors Dr. David W. Graham West Virginia University
Ionic liquid gating of VO2 with a hBN interfacial barrier
Presentation transcript:

Total Dose Response of HfSiON MOS Capacitors D. K. Chen, F. E. Mamouni, R. D. Schrimpf, D. M. Fleetwood, and K. F. Galloway Department of Electrical Engineering and Computer Science, Vanderbilt University, Nashville, TN G. Lucovsky and S. Lee Dept of Physics and Material Science, North Carolina State University B. Jun and J. D. Cressler Dept of Electrical Engineering and Computer Science, Georgia Institute of Technology

Introduction Quantum mechanical tunneling significant in SiO2 Charge trapping in HfSiON (Amorphous and Crystalline HfO2) [G. Lucovsky et al., IEEE Trans. Nucl. Sci., vol. 53, pp. 3644-3648, 2006.] Total dose irradiation and constant voltage stress TID-induced charge trapping compared to previous Hf silicate devices

Device Description HfSiON Al Gate Silicon Substrate Al Substrate Contact Vg FOX SiON (HfO2)0.4(SiO2)0.4(Si3N4)0.2 Low-Si3N4 K = 14.6 tox = 15 nm (HfO2)0.3(SiO2)0.3(Si3N4)0.4 High-Si3N4 K = 12.7 tox = 13 nm Equivalent oxide thickness ~ 4 nm The samples were prepared by remote plasma enhanced chemical vapor deposition (RPECVD), with ~0.6 nm SiON layer; Rapid thermal anneal done at 900 oC

Experimental Details 1-MHz C-V measurement at a rate of 0.05 V/s; Irradiated with 10 keV X-ray at a rate of 31.5 krad(SiO2)/min Interface trapped charge density (Nit) unchanged after radiation; prerad Nit ~ 3.8 x 1012 cm-2 Relatively small gate leakage current (<10 pA @ Vfb + 1 V) unaffected by radiation

Improvement Relative to Hf Silicate Devices TID-induced charge trapping factor of 17 less relative to previous Hf silicate devices [J. A. Felix et al., IEEE Trans. Nucl. Sci., vol. 49, pp. 3191-3196, 2002]

Midgap Voltage Shifts Weak bias dependence Relative low mobility of holes in HfSiON similar to hafnium silicates [J. A. Felix et al., IEEE Trans. Nucl. Sci., vol. 49, pp. 3191-3196, 2002] Electron trapping TID-induced and substrate injection at large positive biases

Comparison of Low- and High-Si3N4 Devices Crystalline HfO2 in the low-Si3N4 film contains grain boundaries Negative gate bias show similar charge trapping levels

Constant Voltage Stress (Substrate Injection) O vacancies and interstitials in the Low-Si3N4 film favorable for electron trapping from substrate injection Defects located near the interface an important source for electron trap sites

Constant Voltage Stress (Gate Injection) Level of charge trapping much less compared to substrate injection Saturation occurs much earlier than substrate injection case Electron injection from the gate and movement of charge centroid

Comparison to Hf Silicate Devices Electron injection balances positive charge trapping for positive and negative biases ∆Not ~ factor of 17 smaller at 1 Mrad(SiO2) 1.2 x 1012 cm-2 Hf silicate 7.1 x 1010 cm-2 HfSiON Reduced charge trapping indicates superior HfSiON film qualities (smaller bulk trap density) and improvements in processing

Conclusions HfSiON show drastic improvements in total dose irradiation hardness relative to Hf silicate devices Crystallization creates grain boundary defects especially vulnerable to stress-induced electron trapping Reduced charge trapping indicates smaller bulk defect density in HfSiON film relative to Hf silicates

Acknowledgements Dr. Lucovsky’s group at NC State University for providing the samples Air Force Office of Scientific Research (AFOSR) for supporting this work