New AMchip features Alberto Annovi INFN Frascati.

Slides:



Advertisements
Similar presentations
Track Trigger Designs for Phase II Ulrich Heintz (Brown University) for U.H., M. Narain (Brown U) M. Johnson, R. Lipton (Fermilab) E. Hazen, S.X. Wu, (Boston.
Advertisements

FTKSim Status: Ghost Busting part. II The Hit Warrior F. Crescioli, M. Dell'Orso, P. Gianetti G. Punzi, G. Volpi FTK Meeting 10/19/2006.
Jan. 2009Jinyuan Wu & Tiehui Liu, Visualization of FTK & Tiny Triplet Finder Jinyuan Wu and Tiehui Liu Fermilab January 2010.
First results on 6M training sample and Bs->mumu analisys F. Crescioli, M. Dell'Orso, P. Giannetti, G. Punzi, G. Volpi.
Track quality - impact on hardware of different strategies Paola FTK meeting Performances on WH and Bs   2.Now we use all the layers.
WH  bb and WH  uu Studies Monica Dunford FTK Monthly Meeting March 13 th, 2007.
Andrei Nomerotski 1 3D ISIS : Different approach to ISIS Andrei Nomerotski, LCFI Collaboration Meeting Bristol, 20 June 2006 Outline  What is 3D ? u Reviewed.
Status of FTK simulation June 16,2005 G. Punzi, Pisa.
Simulation Tasks  Understanding Tracking  Understanding Hardware 1.Two types of tasks: a.Implementing known functions in ATLAS framework b.Understanding.
FTK poster F. Crescioli Alberto Annovi
June 19, Outcome of the CERN meetings Preparing the upgrade R&D proposal M. Shochet.
Studies on stand-alone Si tracking with SVT Alberto, Alessandro, Pierluigi.
AMB HW LOW LEVEL SIMULATION VS HW OUTPUT G. Volpi, INFN Pisa.
Communications G. Darbo – INFN / Genova IBL MB#15, 5 October 2009 o Bump Bonding Selex / INFN Roma, October, 30 th 2009 G. Darbo - INFN / Genova.
G. Volpi - INFN Frascati ANIMMA Search for rare SM or predicted BSM processes push the colliders intensity to new frontiers Rare processes are overwhelmed.
Alberto AnnoviFTK meeting - September 30, 2004 Ideas for a Fast-Track trigger processor - FTK... an evolution of the CDF Silicon Vertex Trigger (SVT) A.
FTKSim Status and plans FTK Meeting 07/13/2006 F. Crescioli, M. Dell'Orso, G. Punzi, G.Volpi, P. Giannetti.
ATLAS Trigger Development
CMOS MAPS with pixel level sparsification and time stamping capabilities for applications at the ILC Gianluca Traversi 1,2
David Hutchcroft 1 VELO software. Lite clusters in Kalman fit 2 The VELO clusters are available both as a lite and “full” version Two consecutive blocks.
Oct. 16, 1998Hobbs (thanks Hal)1 SMT Road Widths & Extra CFT Layers Current Situation Adding a 3rd CFT layer Using all 8 CFT layers Summary.
1 FTK AUX Design Review Functionality & Specifications M. Shochet November 11, 2014AUX design review.
RD program on hybrids & Interconnects Background & motivation At sLHC the luminosity will increase by a factor 10 The physics requirement on the tracker.
Software for tests: AMB and LAMB configuration - Available tools FTK Workshop – Pisa 13/03/2013 Daniel Magalotti University of Modena and Reggio Emilia.
System Demonstrator: status & planning The system demonstrator starts as “vertical slice”: The vertical slice will grow to include all FTK functions, but.
FTK high level simulation & the physics case The FTK simulation problem G. Volpi Laboratori Nazionali Frascati, CERN Associate FP07 MC Fellow.
AM chip schedule Alberto. Design activities (17/11/2010) Adapt JTAG and bounday scan to MPW chip Design new CAM cells, Buffer logic New logic majority.
Associative Memory design for the Fast Track processor (FTK) at Atlas I.Sacco (Scuola Superiore Sant’Anna) On behalf Amchip04 project (A. Annovi, M. Beretta,
Status of FTK & requests 2013 Paola Giannetti, INFN Pisa, for the FTK Group ATLAS Italia, Sep 5, 2012 Status of FTK work IMOU NEWS & Future steps TDR with.
Future evolution of the Fast TracKer (FTK) processing unit C. Gentsos, Aristotle University of Thessaloniki FTK FP7-PEOPLE-2012-IAPP FTK executive.
Status of FTK Paola Giannetti, INFN Pisa, for the FTK Group ATLAS Italia, Fabruary 2, 2010 Status & Evolution of FTK (impact on Italian groups) Schedule.
Global Costs based on TP (6-10 y): ~1150 K€ Italy ~1150 K€ USA ~200 K€ Waseda ~300+ extra K€ new institutions Costs for 2013 run ~400 K€ Italy ~500 K€
Paola TDAQ FTK STATUS (valid for both Option A & B) Paola Giannetti for the FTK collaboration  Work done for each milestone since the TDAQ.
Summary of Deliverables & Reached Milestones History and explanation of steps Milestones this year Deliverables: who is going to write what Outreach :
Status of FTK Paola Giannetti INFN Pisa for the FTK Group ATLAS Italia November 17, 2009.
Costo ~ 600 kE ATLAS: Frascati, Milano, Pavia, Pisa CMS: Firenze, Padova, Perugia, Pisa, Trieste Applicazioni: FTK Phase II, L1 Track Trigger, outside.
FTK crates, power supplies and cooling issues 13/03/20131FTK-IAPP workshop - A. Lanza  Racks, crates and PS: requirements  Wiener crates  Rittal crates.
AMBFTK Report AMBFTK: problems to solve Power distribution: Crates – compatibility with CDF crates? Thermal dissipation: Cooling Signals I/O:
Alberto Stabile 1. Overview This presentation describes status of the research and development of main boards for the FTK project. We are working for.
The AMchip on the AMBoard Saverio Citraro PhD Student University of Pisa & I.N.F.N. Pisa.
UPDATE ON HARDWARE 1 1.VERTICAL SLICE & COOLING TESTS 1.ONLY a TEST STAND or a SMALL DEMONSTRATOR ?? 2.CRATE.
Ftksim at high luminosity Monthly meeting September 22, 2008 Anton Kapliy.
Outline The Pattern Matching and the Associative Memory (AM)
Firmware development for the AM Board
Federico Lasagni Manghi - University of Bologna
The Associative Memory Chip
IAPP - FTK workshop – Pisa march, 2013
FTK: update on progress, problems, need
D. Breton, S. Simion February 2012
The 8085 Microprocessor Architecture
The Associative Memory – AM = Bingo
FTK Update Approved by TDAQ in april
More technical description:
Project definition and organization milestones & work-plan
APSEL6D Architecture, simulations and results
INFN Pavia and University of Bergamo
AM system Status & Racks/crates issues
An online silicon detector tracker for the ATLAS upgrade
2018/6/15 The Fast Tracker Real Time Processor and Its Impact on the Muon Isolation, Tau & b-Jet Online Selections at ATLAS Francesco Crescioli1 1University.
Pending technical issues and plans to address and solve
SLP1 design Christos Gentsos 9/4/2014.
Meeting at CERN March 2011.
Overview of the ATLAS Fast Tracker (FTK) (daughter of the very successful CDF SVT) July 24, 2008 M. Shochet.
The 8085 Microprocessor Architecture
Some basic ideas (not a solution)
FTK variable resolution pattern banks
F. Crescioli, P. Giannetti, M. Dell'Orso, G. Punzi, G. Volpi
The 8085 Microprocessor Architecture
F. Crescioli, P. Giannetti, M. Dell'Orso, G. Punzi, G. Volpi
SVT detector electronics
Presentation transcript:

New AMchip features Alberto Annovi INFN Frascati

Outline Use of patterns Variable size patterns New input busses Disabling patterns –Increase effective production yield Annovi,

3 The Event... The Pattern Bank Pattern matching

Annovi, Find low resolution track candidates called “roads”. Solve most of the pattern recognition 2.Then fit tracks inside roads. Thanks to 1 st step it is much easier Tracking with ~offline quality Super Bin (SB) Tracking in 2 steps Critical parameter: SS size Affects: - Number of patterns for given efficiency: cost - Number of found roads: workload for next step Critical parameter: SS size Affects: - Number of patterns for given efficiency: cost - Number of found roads: workload for next step

Pattern efficiency Annovi, % # of patterns in Amchips (barrel only, 45  degress) 65M500M Pattern size r-  : 24 pixel, 20 SCT 36 pix z Pattern size r-  : 12 pixel, 10 SCT 36 pix z = 342k = 40k Want this

Efficiency curve Annovi, # of pattern in Amchips (barrel only, 45  degress) Need many patterns for little efficiency ?? Super Bins are discrete Edge effects give lots of patterns with little coverage

Annovi, TSP simulation & varying-resolution pattern banks Guido Volpi & Roberto Vitillo - Pisa Depth 0 Depth 1 Depth 2 PARENT PATTERN FAT ROAD Thin ROAD AM resolution TSP resolution We do have now a structured “pattern bank”, where each thin road is connected to its parent pattern in FTKsim. Ongoing tests for TSP algo after the RoadFinder (AMsim) in FTKsim; we have studied the bank composition and AM FAKE roads. AM Fake road is a AM matched pattern whose kids do not match the event Low probability to fire AM patterns: few kids (1 or 2): big advantage to match it at TSP resolution! All blank Half-SS can AM level as fakes TSP level the fake has good probability to be deleted LOW coverage patterns High probability to fire AM patterns (symmetric): many kids (up to 20 or more): no advantage to match it at TSP resolution! More than one kid can TSP level. Low probability to be a fake AM road HIGH coverage patterns KID 0 1

Annovi, We can use don’t care on the least significant bit when we want to match the pattern AM resolution or use all the bits to match TSP resolution Test of AM patterns: 1.all single kid TSP resolution 2.For all few kid patterns use don’t care only for layers where both Half-SS are used by kids AM resolution (don’t care ) TSP resolution (care) to exclude the right half in these layers Guido Volpi & Roberto Vitillo - Pisa All AM roads AM roads with at least 1 matched kid Fake AM roads # of kids 34 How to implement “variable resolution” in the AMchip AM pattern distribution vs Number of kids Majority of patterns with a single Kid AM & TSP Pattern Bank for 23 ev. pileup # of kids

AM with care/don’t care Annovi, TSP AM Care/don’t care very effective to reduce the number of roads. Area cost on the chip approx. 1 extra cell for each DC bit. Now 15 cells/layers. With 1 DC bit area increases by 1/15 ~ 7%. For comparison going to TSP resolution would require 3x patterns. # of kids

Number of busses Currently we have 6 input busses New AMchip should handle 8 layers IBL will require 2 busses for higher b/w External SCT layers needs half b/w Current package constraint max 7 input busses 3 options: implement 2 of them to be selected online Annovi,

8 Layers vs 7 buses (option 1) Annovi, Pattern bank with 8 matching layers 8 internal buses Internal register that feeds 8 busses Input register for 7 busses Demultiplex based on MSB E xt ra PixPix PixPix PiXPiX SCTSCT SCTSCT SCT 2 & 3

IBL: 7 Layers vs 7 buses Annovi, Internal register that feeds 8 busses IBLIBL IBLIBL PixPix PiXPiX SCTSCT SCTSCT SCT 2 & 3 Input register for 7 busses Demultiplex based on MSB double bandwidth. Either double internal clock, or special logic. Take the logical OR of 2 layers. Both layers store the IBL super bin. Distribute 50% data to each layer. Layer matches if any of 2 IBL layers match Special IBL layer: OR of 2 layers

IBL: 8 Layers vs 7 buses Annovi, Internal register that feeds 8 busses IBLIBL IBLIBL PixPix PiXPiX ?????? SCTSCT SCT 2 & 3 Input register for 7 busses Demultiplex based on MSB double bandwidth. Either double internal clock, or special logic. Take the logical OR of 2 layers. Both layers store the IBL super bin. Distribute 50% data to each layer. Layer matches if any of 2 IBL layers match IBL with double clock

Amchip 03 yields AMchip03 prototype 2004 –1cm^2 MPW yield 35% AMchip03 production 2005 –1cm^2 pilot run yield 70% Large fraction of failures due to single pattern defect. Add one register to disable bad patters –Will allow to use all chips with a single (or few) pattern defects. Area cost small :1 flip-flop/pattern (not /layer) Annovi,

Changes to AMChip specifications Amchip 03 specs: – cdf.fnal.gov/publications/cdf7339_amchip0 3_specs.pshttp://www- cdf.fnal.gov/publications/cdf7339_amchip0 3_specs.ps New features –Add 1 or 2 don’t care bits/layer –Increase input busses to 7 with multiplexing & special handling of IBL –Add disable FF for each pattern Annovi,

BACKUP Annovi,

Annovi, Milestone #9: Specify system size..1×10 34 and 3×10 33 Concentrate now on (17-19 pile-up events) 2020 comes much later and will profit of a very advanced technology……. Sim with 75 pile-up events after 2020! 17,6 pile-up ,0 pile-up 10 34

Annovi, Using the variable resolution in a new AM chip for WH (# of pile-up events = 23) Banks coverage ~ 95% 8.0 → 2,80 AM level (35%) per region (barrel only) 20 TSP → 7 AM level (35%) per region (all detector) Using TSP resolution in the AM bank for AM patterns with 1,2,3 kids: 3600 goes down to 1325 roads/AMboard → gaining a factor ~ 3! For a full detector FTK: less than 4000 out with a limit of less than 2000 out with a limit of Guido Volpi & Roberto Vitillo - Pisa FTK Demonstrator with old chip, barrel only: running now on 17,6 pile- up events to understand DATA FLOW → however we consider it a test, It is not necessary to have large margins for Even a small AMchip (12 mm 2 65 nm (MPW 80 k€) with variable resolution implemented, could do it, even without the TSP. Very low consumption DATA FLOW (Option A) assuming 16 AMboards in a core crate (numbers are for barrel only – a factor ~2,5 has to be applied for “all detector”): 3600 roads/AMboard of which 733 have a kid match at TSP level → 80% fakes

Annovi, nm 90 nm NEXT YEAR – MAY BE MARCH Mini-asic COULD be 90 or 65 nm THE AMCHIP04 PROTOTYPE Design: L.Sartori (Ferrara) M.Beretta (LNF) E. Bossini, F. Crescioli, I.Sacco (Pisa) Test: A.Lanza (Pavia) 90 nm miniasic