Design of a 300 Mbps Unified 3G/4G Turbo Decoder Using High-Level Synthesis Primary Author: Sandeep RK Secondary Author: Pankaj Saxena Company/Organization:

Slides:



Advertisements
Similar presentations
1 General-Purpose Languages, High-Level Synthesis John Sanguinetti High-Level Modeling.
Advertisements

Combinational Logic.
ECE Synthesis & Verification - Lecture 2 1 ECE 667 Spring 2011 ECE 667 Spring 2011 Synthesis and Verification of Digital Circuits High-Level (Architectural)
NetFPGA Project: 4-Port Layer 2/3 Switch Ankur Singla Gene Juknevicius
High Level Languages: A Comparison By Joel Best. 2 Sources The Challenges of Synthesizing Hardware from C-Like Languages  by Stephen A. Edwards High-Level.
Internal Logic Analyzer Final presentation-part B
Altera FLEX 10K technology in Real Time Application.
TITAC: Design of a QDI microprocessor TITAC: Tokyo Institute of Technology TITAC-1: IEEE Design & Test (Summer 94) 1. main goal: explore the design methodology.
FPGA Latency Optimization Using System-level Transformations and DFG Restructuring Daniel Gomez-Prado, Maciej Ciesielski, and Russell Tessier Department.
CSE241 Formal Verification.1Cichy, UCSD ©2003 CSE241A VLSI Digital Circuits Winter 2003 Recitation 6: Formal Verification.
Synchronous Digital Design Methodology and Guidelines
Turbo decoder Core For ASIC&System Development softDSP Corporation
Behavioral Design Outline –Design Specification –Behavioral Design –Behavioral Specification –Hardware Description Languages –Behavioral Simulation –Behavioral.
1 Chapter 9 Design Constraints and Optimization. 2 Overview Constraints are used to influence Synthesizer tool Place-and-route tool The four primary types.
VHDL Coding Exercise 4: FIR Filter. Where to start? AlgorithmArchitecture RTL- Block diagram VHDL-Code Designspace Exploration Feedback Optimization.
مرتضي صاحب الزماني  The registers are master-slave flip-flops (a.k.a. edge-triggered) –At the beginning of each cycle, propagate values from primary inputs.
Center for Embedded Computer Systems University of California, Irvine and San Diego SPARK: A Parallelizing High-Level Synthesis.
Network-on-Chip: Communication Synthesis Department of Computer Science Texas A&M University.
ECE 551 Digital System Design & Synthesis Lecture 11 Verilog Design for Synthesis.
TM Efficient IP Design flow for Low-Power High-Level Synthesis Quick & Accurate Power Analysis and Optimization Flow JAN Asher Berkovitz Yaniv.
1 VERILOG Fundamentals Workshop סמסטר א ' תשע " ה מרצה : משה דורון הפקולטה להנדסה Workshop Objectives: Gain basic understanding of the essential concepts.
RICE UNIVERSITY Implementing the Viterbi algorithm on programmable processors Sridhar Rajagopal Elec 696
ECE 545 Project 1 Part IV Key Scheduling Final Integration List of Deliverables.
Matrix Multiplication on FPGA Final presentation One semester – winter 2014/15 By : Dana Abergel and Alex Fonariov Supervisor : Mony Orbach High Speed.
1 WORLD CLASS – through people, technology and dedication High level modem development for Radio Link INF3430/4431 H2013.
© Janice Regan, CMPT 300, May CMPT 300 Introduction to Operating Systems Principles of I/0 hardware.
SystemC: A Complete Digital System Modeling Language: A Case Study Reni Rambus Inc.
ASIC/FPGA design flow. FPGA Design Flow Detailed (RTL) Design Detailed (RTL) Design Ideas (Specifications) Design Ideas (Specifications) Device Programming.
Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Topics Testability and architecture. Design methodologies. Multiprocessor system-on-chip.
CMOS Design Methods.
Xilinx Programmable Logic Design Solutions Version 2.1i Designing the Industry’s First 2 Million Gate FPGA Drop-In 64 Bit / 66 MHz PCI Design.
Chonnam national university VLSI Lab 8.4 Block Integration for Hard Macros The process of integrating the subblocks into the macro.
FORMAL VERIFICATION OF ADVANCED SYNTHESIS OPTIMIZATIONS Anant Kumar Jain Pradish Mathews Mike Mahar.
Array Synthesis in SystemC Hardware Compilation Authors: J. Ditmar and S. McKeever Oxford University Computing Laboratory, UK Conference: Field Programmable.
Digital System 數位系統 Verilog HDL Ping-Liang Lai (賴秉樑)  
1 Workshop Topics - Outline Workshop 1 - Introduction Workshop 2 - module instantiation Workshop 3 - Lexical conventions Workshop 4 - Value Logic System.
L26 – Datapath ALU implementation
8279 KEYBOARD AND DISPLAY INTERFACING
6.375 Final Presentation Jeff Simpson, Jingwen Ouyang, Kyle Fritz FPGA Implementation of Whirlpool and FSB Hash Algorithms.
Synthesis Of Fault Tolerant Circuits For FSMs & RAMs Rajiv Garg Pradish Mathews Darren Zacher.
Input-Output Organization
Slide 1 2. Verilog Elements. Slide 2 Why (V)HDL? (VHDL, Verilog etc.), Karen Parnell, Nick Mehta, “Programmable Logic Design Quick Start Handbook”, Xilinx.
Presentation by Tom Hummel OverSoC: A Framework for the Exploration of RTOS for RSoC Platforms.
CHAPTER 8 Developing Hard Macros The topics are: Overview Hard macro design issues Hard macro design process Physical design for hard macros Block integration.
Introduction to VHDL Simulation … Synthesis …. The digital design process… Initial specification Block diagram Final product Circuit equations Logic design.
ECE-C662 Lecture 2 Prawat Nagvajara
Tools - LogiBLOX - Chapter 5 slide 1 FPGA Tools Course The LogiBLOX GUI and the Core Generator LogiBLOX L BX.
Real-Time Turbo Decoder Nasir Ahmed Mani Vaya Elec 434 Rice University.
Computer Organization CDA 3103 Dr. Hassan Foroosh Dept. of Computer Science UCF © Copyright Hassan Foroosh 2002.
Design and Implementation of Turbo Decoder for 4G standards IEEE e and LTE Syed Z. Gilani.
RTL Design Methodology Transition from Pseudocode & Interface
8279 KEYBOARD AND DISPLAY INTERFACING
Baseband Implementation of an OFDM System for 60GHz Radios: From Concept to Silicon Jing Zhang University of Toronto.
04/26/20031 ECE 551: Digital System Design & Synthesis Lecture Set : Introduction to VHDL 12.2: VHDL versus Verilog (Separate File)
5-1 Logic System Design I VHDL Design Principles ECGR2181 Reading: Chapter 5.0, 5.1, 5.3 port ( I: in STD_LOGIC_VECTOR (1 to 9); EVEN, ODD: out STD_LOGIC.
EE121 John Wakerly Lecture #17
VHDL and Hardware Tools CS 184, Spring 4/6/5. Hardware Design for Architecture What goes into the hardware level of architecture design? Evaluate design.
Multiplexors Decoders  Decoders are used for forming separate signals for different combination of input signals.  The multiplexer circuit is a digital.
03/30/031 ECE Digital System Design & Synthesis Lecture Design Partitioning for Synthesis Strategies  Partition for design reuse  Keep related.
ISCUG Keynote May 2008 Acknowledgements to the TI-Nokia ESL forum (held Jan 2007) and to James Aldis, TI and OSCI TLM WG Chair 1 SystemC: Untapped Value.
8251 USART.
Implementing RISC Multi Core Processor Using HLS Language - BLUESPEC Liam Wigdor Instructor Mony Orbach Shirel Josef Semesterial Winter 2013.
DAC50, Designer Track, 156-VB543 Parallel Design Methodology for Video Codec LSI with High-level Synthesis and FPGA-based Platform Kazuya YOKOHARI, Koyo.
ASIC Design Methodology
Hardware Description Languages: Verilog
Two-phase Latch based design
ECE 551: Digital System Design & Synthesis
ECE-C662 Introduction to Behavioral Synthesis Knapp Text Ch
L25 – Datapath ALU.
EE 122: Lecture 7 Ion Stoica September 18, 2001.
Presentation transcript:

Design of a 300 Mbps Unified 3G/4G Turbo Decoder Using High-Level Synthesis Primary Author: Sandeep RK Secondary Author: Pankaj Saxena Company/Organization: Broadcom

ISCUG – India SystemC User Group 2 Agenda MotivationMotivation Turbo DecoderTurbo Decoder ChallengesChallenges MethodologyMethodology ResultsResults HLS AdvantagesHLS Advantages RecommendationsRecommendations

ISCUG – India SystemC User Group 3 Motivation Scalable architectureScalable architecture Should support the following throughputs:Should support the following throughputs: 3G – 3.6, 7.2, 14, 21, 42, 84, 168 Mbps3G – 3.6, 7.2, 14, 21, 42, 84, 168 Mbps LTE – 75, 100, 150, 300 MbpsLTE – 75, 100, 150, 300 Mbps Minimum area and powerMinimum area and power Establish high-level synthesis (HLS) flowEstablish high-level synthesis (HLS) flow

ISCUG – India SystemC User Group 4 3G/LTE Turbo Encoder Ref:Ref: 3GPP TS version Release 10

ISCUG – India SystemC User Group 5 Challenges Address conflicts in 3G interleaverAddress conflicts in 3G interleaver –>5000 block sizes in 3G. –Results in nondeterministic throughput. –Modeling is required to choose the architecture. Odd block sizes in 3GOdd block sizes in 3G Interleaved address generation in 3GInterleaved address generation in 3G

ISCUG – India SystemC User Group 6 Turbo Decoder 300 Mbps for LTE, and 168 Mbps for 3G300 Mbps for LTE, and 168 Mbps for 3G Eight parallel Radix4 MAP decodersEight parallel Radix4 MAP decoders Up to eight full iterationsUp to eight full iterations CRC-based early terminationCRC-based early termination

ISCUG – India SystemC User Group 7 Block Diagram

ISCUG – India SystemC User Group 8 Methodology Design and test bench: SystemCDesign and test bench: SystemC –Each block in one or two CThreads Verification: Bit matching with reference vectorsVerification: Bit matching with reference vectors HLS tool: Forte CynthesizerHLS tool: Forte Cynthesizer RTL synthesis: Design CompilerRTL synthesis: Design Compiler Single test bench for performance evaluation, RTL, and netlist simulationsSingle test bench for performance evaluation, RTL, and netlist simulations

ISCUG – India SystemC User Group 9 Results ~60% area reduction compared to separate 3G & 4G decoders.~60% area reduction compared to separate 3G & 4G decoders. Area is reduced by 10% due to HLS.Area is reduced by 10% due to HLS. ~50% is memory.~50% is memory. Area is reduced proportional to throughput due to scalable architecture.Area is reduced proportional to throughput due to scalable architecture. BER remains the same.BER remains the same. Throughput requirements are met for both 3G & LTE.Throughput requirements are met for both 3G & LTE.

ISCUG – India SystemC User Group 10 HLS Advantages Architecture explorationArchitecture exploration Process technology independent designProcess technology independent design Readily available interfacesReadily available interfaces Built-in math operations like saturation, rounding, etc.Built-in math operations like saturation, rounding, etc. Smaller areaSmaller area Easy to work with memoriesEasy to work with memories Shorter design cycleShorter design cycle

ISCUG – India SystemC User Group 11 Recommendations Control signals should flow along with the data path. Adds flexibility to synthesize designs at different frequencies. Reset values to all variables.Reset values to all variables. SystemC assigns 0 by default.SystemC assigns 0 by default. Group the inputs/outputs having the same delay requirements.Group the inputs/outputs having the same delay requirements. Avoid multicycle paths.Avoid multicycle paths. Use consistent coding style.Use consistent coding style. –Input registering versus output registering.

ISCUG – India SystemC User Group 12 Recommendations (continued) Memory access delays are different in SystemC and RTL. Need a scheme to avoid SystemC/RTL mismatch.Memory access delays are different in SystemC and RTL. Need a scheme to avoid SystemC/RTL mismatch. Avoid write-through conditions in dual-port memories.Avoid write-through conditions in dual-port memories. Be careful about simultaneous read/write operations in single-port memories.Be careful about simultaneous read/write operations in single-port memories.

ISCUG – India SystemC User Group 13 Questions?

14 Thank you!